2023-2-5二手设备列表 | ||||||||||
ID | 设备名称 | 制造商 | 型号 | 年份 | 报价(¥) | 详细配置 | 状 态 | |||
2418 | 德国Aixtron MOCVD | Aixtron | CRIUS II | - | 面议 | 55片x2英寸 13片x4英 |
国内 | |||
2414 | ULVAC ENTRON-EX W300 Multi-ch(8ch)Sputte | ULVAC | ENTRON-EX W300 Multi-ch(8ch)Sp | - | 面议 | As-is | 国外 | |||
2413 | AMAT Producer-GT CVD | AMAT | Producer-GT CVD | - | 面议 | As-is | 国外 | |||
2412 | TEL Mark Vz 2C2D | TEL | Mark Vz 2C2D | - | 面议 | As-is | 国外 | |||
2411 | AMSL XT760F KrF Scanner | AMSL | XT760F KrF Scanner | - | 面议 | As-is | 国外 | |||
2410 | AMAT mirra MESA CMP | AMAT | mirra MESA CMP | - | 面议 | FULL REPUB | 国外 | |||
2409 | Ebara EPO222 CMP | Ebara | EPO222 CMP | - | 面议 | As-is | 国外 | |||
2408 | Oxford Plasmalab System 100 RIE+PECVD | Oxford | Plasmalab System 100 RIE+PECVD | - | 面议 | As-is | 国外 | |||
2407 | DNS SU3200 | DNS | SU3200 | - | 面议 | FULL REPUB | 国外 | |||
2406 | DNS SK3000 | DNS | SK3000 | - | 面议 | As-is | 国外 | |||
2405 | Lam Rainbow 4420 | LAM | Rainbow 4420 | - | 面议 | 6 As-is | 国外 | |||
2404 | USHIO UX-4440 Aligner | USHIO | UX-4440 Aligner | - | 面议 | As-is | 国外 | |||
2403 | CHA Revolution | CHA | Revolution | - | 面议 | As-is | 国外 | |||
2402 | ULVAC Ulvac ei-7K | ULVAC | Ulvac ei-7K | - | 面议 | As-is | 国外 | |||
2401 | ULVAC Ulvac ei-5K | ULVAC | Ulvac ei-5K | - | 面议 | As-is | 国外 | |||
2400 | Plasma "Therm Versaline ICP-RIE #2 PSS I | Plasma | Therm Versaline ICP-RIE #2 PSS | - | 面议 | 6 As-is | 国外 | |||
2399 | ULVAC Chamber(5CH) | ULVAC | Chamber(5CH) | - | 面议 | 12 As-is | 国外 | |||
2398 | Ebara F-REX300S CMP | Ebara | F-REX300S CMP | - | 面议 | 12 FULL REPUB | 国外 | |||
2397 | AMAT P5000 | AMAT | P5000 | - | 面议 | 8 REFURB | 国外 | |||
2396 | Maxis 300LA ICP | Maxis | 300LA ICP | - | 面议 | 12 As-is | 国外 | |||
2395 | Nippon Sanso | Nippon | Sanso | - | 面议 | 6 As-is | 国外 | |||
2394 | Aixtron Crius II | Aixtron | Crius II | - | 面议 | As-is | 国外 | |||
2393 | DISCO DFD641 saw | DISCO | DFD641 saw | - | 面议 | 8 Working | 国外 | |||
2392 | Oxford Plasma Pro NGP1000 | Oxford | Plasma Pro NGP1000 | - | 面议 | 12" As-is | 国外 | |||
2391 | AMAT P5000 | AMAT | P5000 | - | 面议 | FULL REPUB | 国外 | |||
2390 | TEL P-12XL Probe | TEL | P-12XL Probe | - | 面议 | 12" As-is | 国外 | |||
2389 | Hitachi HL7800M | Hitachi | HL7800M | - | 面议 | As-is | 国外 | |||
2388 | Hitachi HL8000M | Hitachi | HL8000M | - | 面议 | As-is | 国外 | |||
2387 | Hitachi FESEM S4800 II | Hitachi | FESEM S4800 II | - | 面议 | 8 working | 国外 | |||
2386 | Hitachi FESEM S4700 II | Hitachi | FESEM S4700 II | - | 面议 | 8 FULL REPUB | 国外 | |||
2385 | Hitachi FESEM S4700 I | Hitachi | FESEM S4700 I | - | 面议 | 8 Working | 国外 | |||
2384 | Hitachi S-9260A CDSEM | Hitachi | S-9260A CDSEM | - | 面议 | 8 FULL REPUB | 国外 | |||
2383 | Hitachi S-9260A CDSEM | Hitachi | S-9260A CDSEM | - | 面议 | 8 As-is | 国外 | |||
2382 | TEL ACT12 Single Block | TEL | ACT12 Single Block | - | 面议 | 12 As-is | 国外 | |||
2381 | TEL ACT8 2C4D, Double Block | TEL | ACT8 2C4D,Double Block | - | 面议 | 8 working | 国外 | |||
2380 | NIKON NSR-SF200 | NIKON | NSR-SF200 | - | 面议 | 6, 8 Initial Co | 国外 | |||
2379 | NIKON NSR-207D | NIKON | NSR-207D | - | 面议 | 6, 8 working | 国外 | |||
2378 | NIKON NSR 2205 I 12D | NIKON | NSR 2205 I 12D | - | 面议 | 6~`12 Initial C | 国外 | |||
2377 | NIKON NSR-2205 I 9C | NIKON | NSR-2205 I 9C | - | 面议 | 6 Working | 国外 | |||
2376 | NIKON NSR 1505 G7E | NIKON | NSR 1505 G7E | - | 面议 | 6 Working | 国外 | |||
2375 | NIKON NSR-SF130 | NIKON | NSR-SF130 | - | 面议 | 12 REFURB | 国外 | |||
2374 | AMAT Amat Centura2 DSP | AMAT | Amat Centura2 DSP | - | 面议 | 8 As-is | 国外 | |||
2373 | AMAT Centura Ultima HDP | AMAT | Centura Ultima HDP | - | 面议 | 8 As-is | 国外 | |||
2372 | AMAT Centura Ultima | AMAT | Centura Ultima | - | 面议 | 8 As-is | 国外 | |||
2371 | AMAT Centura2 DPS+ Poly Etch | Amat | Centura2 DPS+ Poly Etch | - | 面议 | 8 As-is | 国外 | |||
2370 | AIXTRON G4 TM | AIXTRON | G4 TM | - | 面议 | 4 working | 国外 | |||
2369 | EVG 510 Wafer bonder | EVG | 510 Wafer bonder | - | 面议 | 4-6 working | 国外 | |||
2368 | AST PEVA-600I | AST | PEVA-600I | - | 面议 | 4-6 working | 国外 | |||
2367 | TEAMs ITO | TEAMs | ITO | - | 面议 | 4-6 working | 国外 | |||
2366 | AIXTRON "AIX200/4RFS(2""X3)" | AIXTRON | AIX200/4RFS(2"X3) | - | 面议 | 2 1998, R&D | 国外 | |||
2365 | TEL ALPHA 8S | TEL | ALPHA 8S | - | 面议 | 8 As-is | 国外 | |||
2364 | Hamai | Hamai | - | - | 面议 | 12 As-is | 国外 | |||
2363 | Peter Wolters AC-1500P | Peter Wolters | AC-1500P | - | 面议 | 12 Overhaul Con | 国外 | |||
2362 | BBS E-450 Prime | BBS | E-450 Prime | - | 面议 | 8 As-is | 国外 | |||
2361 | Leica INM300 | Leica | INM300 | - | 面议 | 8 As-is | 国外 | |||
2360 | KLA P-15 | KLA | P-15 | - | 面议 | 8 As-is | 国外 | |||
2359 | KLA PHX DF 5.0 | KLA | PHX DF 5.0 | - | 面议 | 8 As-is | 国外 | |||
2358 | KLA Ultrascan 9300 | KLA | Ultrascan 9300 | - | 面议 | 8 As-is | 国外 | |||
2357 | KLA Ultrascan 9000 | KLA | Ultrascan 9000 | - | 面议 | 8 As-is | 国外 | |||
2356 | KLA AFS-3220 | KLA | AFS-3220 | - | 面议 | 8 As-is | 国外 | |||
2355 | KLA SP3 | KLA | SP3 | - | 面议 | 6-12 As-is | 国外 | |||
2354 | KLA SP1-DLS | KLA | SP1-DLS | - | 面议 | 6-12 As-is | 国外 | |||
2353 | HITACHI RS-5500 | HITACHI | RS-5500 | - | 面议 | 8 Initial Condi | 国外 | |||
2352 | TEL ACT12 | TEL | ACT12 | - | 面议 | 12 Parts MC | 国外 | |||
2351 | NIKON NSR 4425 | NIKON | NSR 4425 | - | 面议 | 6,9 Initializin | 国外 | |||
2350 | NIKON i11D | NIKON | i11D | - | 面议 | 6,8 Initializin | 国外 | |||
2349 | NIKON S204B | NIKON | S204B | - | 面议 | 6,8 Initial Con | 国外 | |||
2348 | NIKON S203B | NIKON | S203B | - | 面议 | 6,8 Initial Con | 国外 | |||
2347 | TEL Mark 7 1C2D | TEL | Mark 7 1C2D | - | 面议 | 6 As-is | 国外 | |||
2346 | AMAT P5000 PLIS Standard TEOS USG x3 Cha | AMAT | P5000 PLIS Standard TEOS USG x | - | 面议 | 8 REFURB | 国外 | |||
2345 | DNS SK80A 2C 1D | DNS | SK80A 2C 1D | - | 面议 | 8 REFURB | 国外 | |||
2344 | DNS SK80BW 3C3D | DNS | SK80BW 3C3D | - | 面议 | 8 As-is | 国外 | |||
2343 | TEL 8S-E POLC3 | TEL | 8S-E POLC3 | - | 面议 | 6,8 working | 国外 | |||
2342 | SEN/AXCELIS NV-GSD-80 | SEN/AXCELIS | NV-GSD-80 | - | 面议 | 6,8 REFURB | 国外 | |||
2341 | NIKON SF130 | NIKON | SF130 | 2004 | 面议 | 韩国入库,以packing状态 | 国外 | |||
2340 | NIKON NSR-S204B for sale | NIKON | S204B | - | 面议 | 有2台已翻新+安装调试+质保 |
国外 | |||
2339 | Hitachi S-4700 FE-SEM | Hitachi | S-4700 | 2001 | 面议 | - | 国外 | |||
2338 | DISCO DFL7340 | DISCO | DFL7340 | 2010/11 | 面议 | 有2台 | 国外 | |||
2337 | DISCO DFD6360 | DISCO | DFD6360 | 2005 | 面议 | - | 国外 | |||
2336 | Besi Molding设备Fico AMSW40306 | Besi Molding | Fico AMSW40306 | 2010 | 面议 | - | 国外 | |||
2335 | MKS / ASTEX AX 8200A | MKS | ASTEX AX 8200A | - | 面议 | 5台打包卖 | 国外 | |||
2334 | LAM 2300多晶硅蚀刻机 | LAM | 2300 | 2005 | 面议 | missing parts缺件 | 国外 | |||
2333 | DISCO DFG 840 | DISCO | DFG 840 | 1997 | 面议 | - | 国外 | |||
2332 | NIKON NSR 2205 I11C | NIKON | NSR 2205 I11C | 1995 | 面议 | Stepper, 6" | 国外 | |||
2331 | AMAT PRODUCER GT化学气相沉积 | AMAT | PRODUCER GT化学气相沉积 | 2007 | 面议 | 晶圆尺寸:300mm 生 |
国外 | |||
2330 | AMAT PRODUCER GT化学气相沉积 | AMAT | PRODUCER GT化学气相沉积 | 2008 | 面议 | 晶圆尺寸:300mm 生产者 |
国外 | |||
2329 | DNS SS-3000AR | DNS | SS-3000AR | 2007 | 面议 | - | 国外 | |||
2328 | NIKON NSR-S204B | NIKON | NSR-S204B | 1999年 | 面议 | - | 国外 | |||
2327 | AMAT APPLIED MATERIALS P5000 | AMAT | P5000 | - | 面议 | 2CH / 3CH | 国外 | |||
2326 | NIKON NSR-SF130 | NIKON | SF130 | 2005 | 面议 | - | 待投标 | |||
2325 | NIKON S205C Laser Scanner | NIKON | S205C | - | 面议 | - | 国外 | |||
2324 | CANON FPA6000es5步进式光刻机 | CANON | FPA6000es5 | 2003 | 面议 | 国外 | ||||
2323 | OXFORD Plasmalab 800 Plus PECVD System | OXFORD牛津 | Plasmalab 800 Plus | - | 面议 | - | 国外 | |||
2322 | OXFORD Plasmalab 80 Plus RIE System | OXFORD牛津 | Plasmalab 80 Plus | - | 面议 | - | 国外 | |||
2321 | DISCO DFD 6360 | DISCO | DFD 6360 | 2005 | 面议 | - | 国外 | |||
2320 | KLA / TENCOR ACROTEC 6020 | KLA / TENCOR | ACROTEC 6020 | - | 面议 | Inspection syst | 国外 | |||
2319 | HITACHI S-5200日立扫描电子显微镜(SEM) | HITACHI日立 | S-5200 | - | 面议 | - | 国外 | |||
2318 | HITACHI S-4500日立扫描电子显微镜(FE-SEM) | HITACHI日立 | S-4500 | 1995 | 面议 | - | 国外 | |||
2317 | HITACHI S-8820日立扫描电子显微镜(CD-SEM) | HITACHI日立 | S-8820 | 6寸 | 面议 | Automatic dry-c | 国外 | |||
2316 | DISCO DFD6750全自动切割机 | DISCO | DFD6750 | 2012 | 面议 | 尺寸:1510×1554×18 | 国外 | |||
2315 | KARL Suss MA6单/双面光刻机 | Suss | MA/BA6单/双面光刻机 | - | 面议 | 已翻新好 | 国内 | |||
2314 | TOK TCE-3822蚀刻设备 | TOK | TCE-3822 | 2011 | 面议 | 电源:三相 200V 125A | 国外 | |||
2313 | LAM RESEARCH 9400 SE | LAM RESEARCH | 9400 SE | 1997 | 面议 | 整机完整不缺件 | 国外 | |||
2312 | Origin Origin JPF-3A | Origin | Origin JPF-3A | 2003 | 面议 | Cap sealing | 国外 | |||
2311 | KLA-Tencor UV-1280SE 8" | KLA-Tencor | UV-1280SE | 2000 | 面议 | Film Thickness | 国外 | |||
2310 | KLA/Filmetrics F20 | KLA/Filmetrics | F20 | 2021 | 面议 | Thickness Measu | 国外 | |||
2309 | Olympus STM-6 | Olympus | STM-6 | 面议 | Microscope | 国外 | ||||
2308 | TEL INDY Plus-B-M 12" | TEL | INDY Plus-B-M | 2010 | 面议 | Furance | 国外 | |||
2307 | TEL TE8500P 6" | TEL | TE8500P | 面议 | Dry Etch | 国外 | ||||
2306 | DNS SK-200W-AVP(2C2D) 6'' | DNS | SK-200W-AVP(2C2D) | 1998 | 面议 | Track | 国外 | |||
2305 | ULVAC V8-100 6'' | ULVAC | V8-100 | 1998-2000 | 面议 | Furance | 国外 | |||
2304 | ADE 9500 | ADE | 9500 | - | 面议 | Multifunctional | 国外 | |||
2303 | AMAT Vera SEM 3D | AMAT | Vera SEM 3D | - | 面议 | Metrology | 国外 | |||
2302 | AMAT Vera SEM 3D | AMAT | Vera SEM 3D | - | 面议 | Metrology | 国外 | |||
2301 | TEL Telius SP 12" | TEL | Telius SP | - | 面议 | Dry Etch | 国外 | |||
2300 | TEL SCCM TE 12" | TEL | SCCM TE | - | 面议 | Dry Etch | 国外 | |||
2299 | DISCO DFL 7160 | DISCO | DFL 7160 | 2012 | 面议 | Laser saw | 国外 | |||
2298 | Oxford PlasmaLab 80 Plus | Oxford | PlasmaLab 80 Plus | - | 面议 | PECVD | 国外 | |||
2297 | EBARA EPO222T 12" | EBARA | EPO222T | 2004 | 面议 | CMP | 国内 | |||
2296 | ASML AT-1150C 12" | ASML | AT-1150C | 2004 | 面议 | Stepper | 国内 | |||
2295 | LTX-credence Fusion CX | LTX-credence | Fusion CX | - | 面议 | Mixed signal te | 国外 | |||
2294 | Rudolph NSX-105 (Parts machine) 8" | Rudolph | NSX-105 (Parts machine) | 2008 | 面议 | Inspection | 国外 | |||
2293 | TEL TE-8401 8" | TEL | TE-8401 | 1996 | 面议 | Dry Etcher | 国外 | |||
2292 | Rudolph AXI-935 12" | Rudolph | AXI-935 | 2007 | 面议 | Macro Defect In | 国外 | |||
2291 | KLA-Tencor M-gage300 8" | KLA-Tencor | M-gage300 | 2001 | 面议 | Al Thickness me | 国外 | |||
2290 | CANON-ANELVA ILD-4100SR 8 | CANON-ANELVA | ILD-4100SR | 1996 | 面议 | OX Dry Etcher | 国外 | |||
2289 | Rudolph | Rudolph | FE-Ⅶ | 2002 | 面议 | Thickness Measu | 国外 | |||
2288 | Rudolph | Rudolph | FE-Ⅳ | 1995 | 面议 | Thickness Measu | 国外 | |||
2287 | NIKON NSR-S204B(激光器是giga) | NIKON | NSR-S204B | - | 面议 | Manufacturer : | 国外 | |||
2286 | NIKON NSR2205-i11D | NIKON | NSR2205-i11D | 1997.1 | 面议 | 木制包装和运输由买方承担 | 国外 | |||
2285 | NIKON Stepper 2205 i14 E2 | NIKON | Stepper 2205 i14 E2 | - | 面议 | 8英寸晶圆,没有任何缺件,正常 | 国外 | |||
2284 | KLA TENCOR DFIMS Handler for SP1-TBI | KLA TENCOR | DFIMS HANDLER FOR SP1-TBI | - | 面议 | 有两台 | 国外 | |||
2283 | AIXTRON AIX 2600 G3 HT MOCVD | AIXTRON | AIX 2600 G3 HT | 2006 | 面议 | The owner of th | 国外 | |||
2282 | DNS SU3200 Scrubber *2set For sale | DNS | SU3200 | 2014 | 面议 | 1)1)DNS SU3200 | 国外 | |||
2281 | TEL Mark-7 Track | TEL | Mark-7 | - | 面议 | 2C 3D生产线工作条件 | 国外 | |||
2280 | TEL Mark-8 Track | TEL | Mark-8 | - | 面议 | 1C 2D原样仓库 | 国外 | |||
2279 | NIKON NSR 2205 i11D Stepper | NIKON | i11D | - | 面议 | 生产线工作条件 | 国外 | |||
2278 | ACCRETECH TSK AWD-100A Dicing saw, 8&quo | ACCRETECH | AWD-100A | 2001 | 面议 | 2001年 | 国外 | |||
2277 | DNS SU-3100清洗设备 | DNS | SU-3100 | - | 面议 | 无HDD系统 | 国外 | |||
2276 | DISCO DFD 6360 | DISCO | DFD 6360 | 2004 | 面议 | 有2台可竞价 | 国外 | |||
2275 | VARIAN E500 EHP离子注入机 | VARIAN | E500 EHP | 2000.10 | 面议 | 1、维护良好,位于欧洲 2、 |
国外 | |||
2274 | HITACHI S-4700扫描电子显微镜 | HITACHI | S-4700 | - | 面议 | 扫描电子显微镜(SEM),传输 | 国外 | |||
2273 | TOKYO LITHIUS清洗设备 | TOKYO | LITHIUS | 2003.12 | 面议 | 韩国价 | 国外 | |||
2272 | DNS SU-3000清洗设备 | DNS | SU-3000 | 2006.10 | 面议 | 有12个部分详细照片(含到国内 | 国外 | |||
2271 | Credence KALOS XW内存测试仪 | Credence | KALOS XW | 2004 | 面议 | Credence KALOS | 国外 | |||
2270 | AMAT APPLIED MATERIALS P5000 | AMAT | P5000 | 1995 | 面议 | 2 chambers CVD |
国外 | |||
2269 | TEL TOKYO ELECTRON蚀刻去胶设备 | TEL | TELIUS SP-308 SCCM | 2007 | 面议 | 按现状,有3台 | 国外 | |||
2268 | DISCO DFL 7340 | DISCO | DFL 7340 | 2010 | 面议 | Laser dicing sa | 国外 | |||
2267 | AIXTRON AIX 2600 G3 HT | AIXTRON | AIX 2600 G3 HT | 2006 | 面议 | III-N Process |
国外 | |||
2266 | DISCO CHILLER DTU1531 | DISCO | DTU1531 | 2005/2006 | 面议 | 有2台 | 国外 | |||
2265 | TSK 300TX - 2EA | TSK | 300TX | 2010 | 面议 | - | 国外 | |||
2264 | TSK 3000T - 2EA | TSK | 3000T | 2012 | 面议 | 韩国 | 国外 | |||
2263 | ULVAC SRH-420Z | ULVAC | SRH-420Z | - | 面议 | - | 国外 | |||
2262 | ULVAC SRH-420Z | ULVAC | SRH-420Z | - | 面议 | - | 国外 | |||
2261 | AMAT APPLIED MATERIALS Centura 5200 | AMAT | CENTURA 5200 | - | 面议 | CVD System,6"(3 | 国外 | |||
2260 | AMAT APPLIED MATERIALS Centura AP Minos | AMAT | Centura AP Minos Polysili | - | 面议 | - | 国内 | |||
2259 | NIKON NSR 1505 G7E | NIKON | NSR 1505 G7E | 1990 | 面议 | NIKON NSR 1505 | 国外 | |||
2258 | DISCO DFD 6360 | DISCO | DFD 6360 | 2004 | 面议 | Dicing saw, 12" | 待投标 | |||
2257 | NIKON NSR-2205 i12C步进和扫描 | NIKON | NSR-2205 i12C | 1997 | 面议 | 6"/150mm 翻新 | 国内 | |||
2256 | KLA SP1 TBI | KLA | SP1 TBI | 2000 | 面议 | Kla-tencor One | 待投标 | |||
2255 | 自动撕金机(剥离机) | ASAP公司 | LOA24-900-10 | 2012-11-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2254 | CPM有机清洗机 | 新耘实业股份有限公司 | SB-155S | 2014-11-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2253 | 显影机 | CND PLUS CO.,LTD | David | 2010-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2252 | 匀胶机 | CND PLUS CO.,LTD | David | 2010-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2251 | 氧气柜 | 合肥真萍电子科技 | 2011-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | ||||
2250 | 氧气柜 | 上海源拓净化设备 | 2011-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | ||||
2249 | 氧气柜 | 上海源拓净化设备 | 2011-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | ||||
2248 | 氧气柜 | 上海源拓净化设备 | 2011-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | ||||
2247 | 氧气柜 | 上海源拓净化设备 | 2011-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | ||||
2246 | 氧气柜 | 上海源拓净化设备 | 2011-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | ||||
2245 | 氧气柜 | 上海源拓净化设备 | 2011-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | ||||
2244 | 光学摄像显微镜 | 奥林巴斯株式会社 | SZ51 | 2015-03-28 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2243 | 光学摄像显微镜 | 奥林巴斯株式会社 | SZ51 | 2009-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2242 | 氧气柜 | 上海源拓净化设备 | 2011-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | ||||
2241 | 电热鼓风烤箱 | JS-DHK9203 | 2018-07-04 | 面议 | 260台设备可打包或单独出售 | 国内 | ||||
2240 | 防震台 | 上海微 | 2019-04-30 | 面议 | 260台设备可打包或单独出售 | 国内 | ||||
2239 | 深圳锐拓设备一批 | 2016-03-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||||
2238 | 电热鼓风烤箱 | JS-DHK9203 | 2018-07-04 | 面议 | 260台设备可打包或单独出售 | 国内 | ||||
2237 | 自动撕金机 | LOA6220 | 2016-03-01 | 面议 | 260台设备可打包或单独出售 | 国内 | ||||
2236 | DISCO DFL7340全自动激光切割机 | DISCO日本迪思科 | DISCO DFL7340(NH5016) | 2011-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2235 | DISCO DFL7340全自动激光切割机 | DISCO日本迪思科 | DISCO DFL7340(NH5014) | 2011-11-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2234 | LAM TCP9400氮化镓刻蚀机 | LAM 美国泛林 | TCP9400(备用机) | 2018-12-24 | 面议 | 260台设备可打包或单独出售 | 待投标 | |||
2233 | DISCO DFL7340全自动激光切割机 | DISCO日本迪思科 | DISCO DFL7340 5022 | 2011-03-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2232 | DISCO DFL7340全自动激光切割机 | DISCO日本迪思科 | DISCO DFL7340 5034 | 2011-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2231 | DISCO DFL7340全自动激光切割机 | DISCO日本迪思科 | DISCO DFL7340 | 2011-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2230 | 刻蚀机 | samco | RIE-331IPC (33A2910) | 2014-05-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2229 | DISCO DFL7340全自动激光切割机 | DISCO日本迪思科 | DISCO DFL7340(NH5023) | 2011-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2228 | 隐形激光划片机 | SLS-200 | 2017-03-01 | 面议 | 260台设备可打包或单独出售 | 国内 | ||||
2227 | DISCO DFL7340全自动激光切割机 | DISCO日本迪思科 | DISCO DFL7340 5036 | 2011-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2226 | 全自动制片机(单焦点) | 大族激光科技产业集团股 | DSI9288-L | 2018-07-04 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2225 | 氧化狄标准样片 | 芜湖市捷源机电设备有限 | 666-F1 | 2019-04-30 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2224 | 自动裂片机 | 宏腾科技股份有限公司 | WB-1100HE | 2013-02-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2223 | 自动裂片机 | 宏腾科技股份有限公司 | WB-1100HE | 2011-12-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2222 | 裂片机 | 宏腾科技股份有限公司 | WB-1100HE | 2011-12-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2221 | LED晶圆全自动劈裂机 | GTA | GT-100 | 2014-10-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2220 | 全自动点测机-晶圆点测机 | Innobize | (Z-AWP1000 (IZ-D1102-001) | 2014-05-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2219 | 全自动减薄机 | 苏州思普新科技有限公司 | NSG-1100V(SSG-13V-12004) | 2012-08-27 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2218 | 全自动研磨机 | 苏州恩普斯科技有双公司 | NSG-2020(SSL-2BS-11012) | 2012-05-14 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2217 | 全自动研磨机 | 苏州恩普斯科技有双公司 | NSL-2020(SSL-2BS-11016) | 2012-08-07 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2216 | ITO酸槽清洗机 | 新耘实业股份有限公司 | WS-150-ITO | 2014-11-04 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2215 | Reworidtt槽清洗机 | 新耘实业股份有限公司 | WS-150-REWORK | 2014-11-04 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2214 | 显影机Developer | SVS | MSX1OOO(101052) | 2010-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2213 | 显影机Deveioper | SVS | MSX1OOO(1O1051) | 2010-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2212 | 有机自动清洗机 | ATIS公司 | MAGIC-452S | 2014-11-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2211 | 立体显微镜 | SZ51 | 2019-06-11 | 面议 | 260台设备可打包或单独出售 | 国内 | ||||
2210 | 体式显微镜 | 奥林巴斯株式会社 | SZ51 | 2019-06-11 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2209 | 体式显微镜 | 奥林巴斯株式会社 | SZ51 | 2019-06-11 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2208 | 同轴光源显微镜 | SZ51 | 2019-06-11 | 面议 | 260台设备可打包或单独出售 | 国内 | ||||
2207 | 同轴光源显微镜 | SZ51 | 2019-06-11 | 面议 | 260台设备可打包或单独出售 | 国内 | ||||
2206 | 同轴光源显微镜 | SZ51 | 2019-06-11 | 面议 | 260台设备可打包或单独出售 | 国内 | ||||
2205 | 同轴光源显微镜 | SZ51 | 2019-06-11 | 面议 | 260台设备可打包或单独出售 | 国内 | ||||
2204 | 同轴光源显微镜 | SZ51 | 2019-06-11 | 面议 | 260台设备可打包或单独出售 | 国内 | ||||
2203 | 同轴光源显微镜 | SZ51 | 2019-06-11 | 面议 | 260台设备可打包或单独出售 | 国内 | ||||
2202 | 同轴光源显微镜 | SZ51 | 2019-06-11 | 面议 | 260台设备可打包或单独出售 | 国内 | ||||
2201 | 同轴光源显微镜 | SZ51 | 2019-06-11 | 面议 | 260台设备可打包或单独出售 | 国内 | ||||
2200 | 同轴光源显微镜 | SZ51 | 2019-06-11 | 面议 | 260台设备可打包或单独出售 | 国内 | ||||
2199 | 电加热尾气处理器 | BR-4 | 2019-04-30 | 面议 | 260台设备可打包或单独出售 | 国内 | ||||
2198 | 电加热尾气处理器 | BR-4 | 2019-04-30 | 面议 | 260台设备可打包或单独出售 | 国内 | ||||
2197 | EKC有机清洗机 | 新耘实业股份有限公司 | WS-150-ACE+EKC K014O70O267 | 2014-11-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2196 | 倒装焊接设备 | panasonlc | MDP200-GGI | 2016-04-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2195 | LED晶粒外观检查机 | 政美应用股份有限公司 | DISA-T3H | 2014-12-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2194 | ACE有机清洗机 | 新耘实业股份有限公司 | SB-155S | - | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2193 | 自动目测机 | IMS | LVIS-V | 2012-12-15 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2192 | 自动目测机 | IMS | LVIS-V | 2012-12-15 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2191 | 隐形激光划片机 | QMC CO,Ltd | PLS-600 | 2014-09-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2190 | 隐形激光划片机 | QMC CO,Ltd | PLS-600 | 2014-09-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2189 | 高温硫磷酸加热设备 | ATIS公司 | SHT-UHS72 | 2009-09-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2188 | 高温手动清洗设备 | AT1S公司 | JA1206 | 2009-09-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2187 | 化学镀金机 | ATIS公司 | 2010-11-01 | 面议 | 260台设备可打包或单独出售 | 国内 | ||||
2186 | 光学膜蒸发镀膜设备(背镀) | ULVAC | ei-opl085(MA10-932?)) | 2010-12-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2185 | 全自动晶圆软抛机 | 苏州恩普斯科技有限公司 | NSC-4036(SMC-4ES-12004) | 2012-10-10 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2184 | LED Sorter | Innobize | IZ-HAS1000 | 2012-12-15 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2183 | 全自动洗碗机 | 杰特 | JTE-M100 | 2015-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2182 | 防震台 | 协伟集成电路设备(上海 | 2550*1950*610mm Nikon I11 | 2015-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2181 | 防震台 | 协伟集成电路设备(上海 | 2550*1950*610mm Nikon I11 | 2015-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2180 | 镀锅 | 上海佛裕商贸有限公司 | 2016-11-01 | 面议 | 260台设备可打包或单独出售 | 国内 | ||||
2179 | 防震台 | 协伟集成电路设备(上海 | 1800*1650*610mm | 2016-06-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2178 | 防震台 | 协伟集成电路设备(上海 | 1800*1650*610mm | 2016-06-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2177 | 电热水洗式废气处理器 | 上海兄弟微电子技术有限 | ISIS-1 | 2017-09-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2176 | 裂片机 | 宏腾科技股份有限公司 | WB-1100HE | 2011-12-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2175 | 自动抽测机 | 旺矽科技股份有限公司 | IEDA-8F P7202 | 2012-01-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2174 | 前置盒/ESD8KV | 旺矽科技股份有限公司 | 前置盒ESD8KV | 2016-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2173 | LED Sorter | Innobize | IZ-HAS1000 | 2011-06-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2172 | LED PROBER | Innobize | IZ-AWP1000 | 2011-06-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2171 | LED PROBER | Innobize | IZ-AWP1000 | 2011-06-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2170 | LED PROBER | Innobize | IZ-AWP1000 | 2011-06-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2169 | LED PROBER | Innobize | IZ-AWP1000 | 2011-06-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2168 | LED PROBER | Innobize | IZ-AWP1000 | 2011-06-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2167 | LED PROBER | Innobize | IZ-AWP1000 | 2011-06-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2166 | LED PROBER | Innobize | IZ-AWP1000 | 2011-06-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2165 | LED PROBER | Innobize | IZ-AWP1000 | 2011-06-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2164 | LED PROBER | Innobize | IZ-AWP1000 | 2011-06-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2163 | 全自动减薄机 | 苏州恩普斯科技有限公司 | GV320/PC-VDM(NT-NB0007) | 2011-01-08 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2162 | 全自动减薄机 | 苏州恩普斯科技有限公司 | GV320/PC-VDM(NT-CV0810) | 2010-10-25 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2161 | 全自动晶圆软抛机 | 苏州恩普斯科技有限公司 | NSC-4036(SMC-4ES-12005) | 2012-10-10 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2160 | 自动目检机 | IMS | LVIS-V | 2014-05-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2159 | 自动目检机 | IMS | LVIS-V | 2014-05-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2158 | 快速退火炉 | YEST.co.kr | YIT-4061 | 2012-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2157 | 返工清洗机 | ATIS公司 | MAGIC-44M(A0101114) | 2009-09-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2156 | 快速退火炉 | YEST.co.kr | YIT-4O61 | 2010-12-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2155 | 有机自动清洗台 | ATIS公司 | Magic-431(A0100903(TTO)) | 2009-09-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2154 | 有机清洗机 | ATIS公司 | EKC422S(A0120709) | 2009-09-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2153 | 4KV ESD模组(16/6/16) | MPI Corporation | L910ASESD000200 | 2016-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2152 | 4KV ESD模组(16/6/16) | MPI Corporation | L910ASESD000200 | 2016-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2151 | 4KV ESD模组(16/6/16) | MPI Corporation | L910ASESD000200 | 2016-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2150 | THY模组 | MPI Corporation | L910AST20000780 | 2016-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2149 | THY模组 | MPI Corporation | L910AST20000780 | 2016-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2148 | THY模组 | MPI Corporation | L910AST20000780 | 2016-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2147 | THY模组 | MPI Corporation | L910AST20000780 | 2016-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2146 | THY模组 | MPI Corporation | L910AST20000780 | 2016-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2145 | THY模组 | MPI Corporation | L910AST20000780 | 2016-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2144 | K2611B-L电流源(keithley) | MPI Corporation | L100EWPT2000451 | 2016-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2143 | K2611B-L电流源(keithley) | MPI Corporation | L100EWPT2000451 | 2016-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2142 | K2611B-L电流源(keithley) | MPI Corporation | L100EWPT2000451 | 2016-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2141 | K2611B-L电流源(keithley) | MPI Corporation | L100EWPT2000451 | 2016-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2140 | K2611B-L电流源(keithley) | MPI Corporation | L100EWPT2000451 | 2016-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2139 | K2611B-L电流源(keithley) | MPI Corporation | 100EWPT2000451 | 2016-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2138 | 防震台 | 协伟集成电路设备-上海 | 2550*1950*720mm | 2015-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2137 | 防震台 | 协伟集成电路设备-上海 | 2550*1950*720mm | 2015-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2136 | 防震台 | 协伟集成电路设备-上海 | 2550*1950*720mm | 2015-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2135 | 防震台 | 协伟集成电路设备-上海 | 2550*1950*720mm | 2015-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2134 | 防震台 | 协伟集成电路设备-上海 | 2550*1950*720mm | 2015-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2133 | 防震台 | 协伟集成电路设备-上海 | 2550*1950*720mm | 2015-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2132 | 8KV ESD模组 | MPI Corporation | L910ASESD800010 | 2017-07-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2131 | 8KV ESD模组 | MPI Corporation | L910ASESD800010 | 2017-07-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2130 | 静电放电模拟器ESD800单晶 | 维明科技股份有限公司 | ESD800单晶 | 2017-07-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2129 | 8KV ESD模组 | MPI Corporation | L910ASESD800010 | 2017-06-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2128 | 自动抽测机 | 旺矽科技股份有限公司 | LEDA-8F P7202 | 2011-11-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2127 | 8KV ESD模组 | MPI Corporation | 8KV ESD模组 L910ASESD800010 | 2017-02-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2126 | 8KV ESD模组 | MPI Corporation | 8KV ESD模组 L910ASESD800010 | 2017-02-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2125 | 自动抽测机 | 旺矽科技股份有双公司 | LEDA-8F P7202 | 2011-11-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2124 | 自动抽测机 | 旺矽科技股份有限公司 | LEDA-8F P7202 | 2012-11-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2123 | 自劫抽测机 | 旺矽科技股份有限公司 | LEDA-8F P7202 | 2012-11-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2122 | LED制程用精密高温试验机 | 昆山九丰精密机械有限公 | H-RUL-60,MO0318-1 | 2010-11-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2121 | 烤箱 | 昆山九丰精密机械有限公 | H-RHD-85,M003O9-10 | 2014-05-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2120 | LED制程用精密高温试验机 | 昆山九丰精密机械有限公 | H-RVL-60(M00318-0) | 2010-11-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2119 | 照度计 | 株式会社-尼康 | NIM126(8212907) | 2009-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2118 | 尾气纯化器 | KOCAT INC | KC-601 (KS-000523) | 2014-06-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2117 | 尾气纯化器 | KOCAT INC | KC-601 (KS-000521) | 2016-11-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2116 | 有机清洗机 | ATIS公司 | MAGIC-4M(A0101113) | 2009-09-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2115 | 有机清洗机 | ATIS公司 | MAGIC-4SM(A0101112) | 2009-09-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2114 | 陶瓷盘清洗机 | 大连中创科技有限公司 | ZCCLX-MD1 | 2015-01-20 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2113 | 全自动晶圆劈裂机 | 宏腾科技股份有限公司 | 2011-12-01 | 面议 | 260台设备可打包或单独出售 | 国内 | ||||
2112 | 全自动晶圆劈裂机 | 宏腾科技股份有限公司 | 2011-12-01 | 面议 | 260台设备可打包或单独出售 | 国内 | ||||
2111 | 体式显微镜 | 奥林巴斯株式会社 | SZ51 | 2016-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2110 | 体式显微镜 | 奥林巴斯株式会杜 | SZ51 | 2016-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2109 | 体式显微镜 | 奥林巴斯株式会杜 | SZ51 | 2016-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2108 | 体式显微镜 | 奥林巴斯株式会杜 | SZ51 | 2016-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2107 | 体式显微镜 | 奥林巴新株式会社 | SZ51 | 2016-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2106 | 体式显微镜 | 奥林巴斯株式会社 | SZ51 | 2016-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2105 | 体式显微镜 | 奥林巴斯株式会社 | SZ51 | 2016-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2104 | 体式显微镜 | 奥林巴斯株式会社 | SZ51 | 2016-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2103 | 全自动晶圆劈裂机 | 宏腾科技股份有限公司 | WB-1100HE | 2011-12-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2102 | 体式显微镜 | 奥林巴斯株式会社 | SZ51 | 2014-05-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2101 | 体式显微镜 | 奥林巴新株式会社 | SZ51 | 2014-05-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2100 | 显微镜 | 奥林巴斯株式会社 | SZ51M | 2016-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2099 | 显微镜 | 奥林巴斯株式会社 | SZ51M | 2016-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2098 | 防震台 | 协伟集成电路设备-上海 | 2015-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | ||||
2097 | 尾气处理器 | KOCATINC | KC-601 | 2014-06-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2096 | 尾气纯化器 | KOCATINC | KC-601 (KM00524) | 2014-06-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2095 | 尾气纯化器 | KOCATINC | KC-601 (KMO0522) | 2014-06-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2094 | 尾气处理器 | KOCATINC | KX01 (KS400705) | 2014-06-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2093 | 防震台 | 协伟集成电路设备-上海 | 2550*195*720mm | 2015-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2092 | 防震台 | 协伟集成电路设备-上海 | 2550*195*720mm | 2015-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2091 | 防震台 | 协伟集成电路设备-上海 | 2550*195*720mm | 2015-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2090 | 防震台 | 协伟集成电路设备-上海 | 2550*195*720mm | 2015-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2089 | 同轴光源显微镜 | 奥林巴斯株式会社 | SZ51 | 2014-05-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2088 | 手动打线机 | Kulcke&Soffa | 4522,00 | 2012-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2087 | 同轴光源显微镜 | 奥林巴斯株式会社 | SZ51 | 2014-05-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2086 | 防震台 | 协伟集成电路设备-上海 | 2550*195*720mm | 2015-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2085 | 体视显微镜 | 奥林巴斯株式会社 | SZ51-ILLC | 2016-04-03 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2084 | 防震台 | 协伟集成电路设备-上海 | 钢筋混凝土 | 2015-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2083 | 防震台 | 协伟集成电路设备-上海 | 钢筋混凝土 | 2015-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2082 | 喷砂机 | 上海施勇喷砂设备制造有 | SY-1618A-2 | 2015-11-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2081 | 离子分析仪 | vectech | VECTECH498A | 2015-12-31 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2080 | 不锈钢分散板 | 蚌埠市升创贸易有限公司 | 1380*1200mm*1Omm | 2015-09-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2079 | 不锈钢分散板 | 蚌埠市升创贸易有限公司 | 1380*1200mm*1Omm | 2015-09-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2078 | 不锈钢分散板 | 蚌埠市升创员易有限公司 | 1380*1200mm*1Omm | 2015-09-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2077 | 不锈钢分散板 | 蚌埠市升创贸易有限公司 | 1380*1200mm*1Omm | 2015-09-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2076 | LER机台仕切板 | 昭和 | LER机台仕切板无(依据图纸) | 2015-09-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2075 | 半自动贴片机2-4寸共用型 | 长洛国际股份有限公司 | MM-T2-2-4 | 2014-10-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2074 | 手动翻转机2-4寸共用型 | 长洛国际股份有限公司 | TOM-T1-2-4 | 2010-11-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2073 | ESD模组(静电模拟器) | MPI Coporation | 4KV | 2015-10-31 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2072 | ESD模组(静电模拟器) | MPI Coporation | 4KV | 2015-10-31 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2071 | 尾气处理器 | KOCAT INC | KC-601 | 2014-05-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2070 | 配件清洗机 | ATIS | JTECH-1450 | 2009-09-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2069 | 配件清洗机 | ATIS | Parts Clean Bench (Acid) 1450 | 2009-09-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2068 | 分光光度计 | SCINCO.CO.,LTD. | S-3100(T)S310(M)0-140411U | 2014-01-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2067 | 尾气处理机 | KOCAT ING | KSL-601 | 2014-05-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2066 | 尾气处理机 | KOCAT ING | KSL-601 | 2014-05-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2065 | 尾气处理机 | KOCAT ING | KSL-601 | 2014-05-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2064 | 尾气处理机 | KOCAT ING | KSL-601 | 2014-05-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2063 | 尾气处理机 | KOCAT ING | KSL-601 | 2014-05-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2062 | 养化尾气处理机 | 真晟专特实业有限公司 | JS-7801 | 2015-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2061 | 三丰干分表测量装置 | 三丰株式会社 | ID-C125MXB | 2011-09-09 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2060 | 晶粒计数器 | 里德科技有限公司 | FSCPC-T1 | 2011-02-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2059 | 全自动洗碗机 | 四川杰特机器有限公司 | JTE-M100 | 2015-02-23 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2058 | 防震台 | 协伟集成电路设备-上海 | 2550*195*720mm | 2014-12-16 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2057 | 防震台 | 协伟集成电路设备-上海 | 2550*195*720mm | 2014-12-15 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2056 | 防震台 | 协伟集成电路设备-上海 | 2550*195*720mm | 2014-12-14 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2055 | 自动抽测机 | 旺矽科技股份有限公司 | P7202 | 2012-01-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2054 | 自动抽测机 | 旺矽科技股份有限公司 | P7202 | 2012-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2053 | 自动抽测机 | 旺矽科技股份有限公司 | P7202 | 2011-11-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2052 | 自动抽测机 | 旺矽科技股份有限公司 | P7202 | 2011-11-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2051 | 超声铝丝压焊机 | 创维星有限公司 | 2014-05-01 | 面议 | 260台设备可打包或单独出售 | 国内 | ||||
2050 | 烤箱 | 昆山九丰精密机械 | H-RHD-85 | 2014-05-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2049 | 烤箱 | 昆山九丰精密机械 | H-RHD-85 | 2014-05-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2048 | 超声金丝球焊机 | 深圳伟天里半导体役各有 | 伟天星WT-2310 | 2010-09-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2047 | 显微镜 | 奥林巴斯株式会社 | OLYMPUS-SZ51M | 2014-05-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2046 | 显微镜 | 奥林巴斯株式会社 | OLYMPUS-SZ51M | 2014-05-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2045 | 显微镜 | 奥林巴斯株式会社 | OLYMPUS-SZ51M | 2014-05-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2044 | 显微镜 | 奥林巴斯株式会社 | OLYMPUS-SZ51M | 2014-05-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2043 | 显微镜 | 奥林巴斯株式会社 | OLYMPUS-SZ51M | 2014-05-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2042 | 显微镜 | 奥林巴斯株式会社 | OLYMPUS-SZ51M | 2014-05-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2041 | 显微镜 | 奥林巴斯株式会社 | OLYMPUS-SZ51M | 2014-05-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2040 | 超生热压金丝球焊机+夹具 | 深圳伟天里半导体役各有 | CS2360(GKCS2361-1(2010651)) | 2010-09-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2039 | 翻转机 | 里德科技有限公司 | FSTOM-T2(1011002) | 2014-05-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2038 | 翻转机 | 里德科技有限公司 | FSTOM-T1(1011003) | 2010-11-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2037 | 静电放电模拟器 | 旺矽科技股份有限公司 | ESD800(ASJ0103) | 2014-05-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2036 | 静电放电模拟器 | 旺矽科技股份有限公司 | ESD800(ASJ0061) | 2014-05-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2035 | 全自动减簿机 | 苏州恩普斯科技有限公司 | NSG-1100V(SSG-13V-12002) | 2012-07-04 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2034 | 半自动扩张机 | 里德科技有限公司 | FS EPM-T2(1011004) | 2010-11-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2033 | 尾气处理器 | KOCAT INC | KS-701(KS-000680) | 2014-05-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2032 | 半自动点测机+测试机 | MPI-维明 | LEDA-8F 3G PLUS-VB(M21102147/A | 2011-02-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2031 | 半自动点测机+测试机 | MPI-维明 | LEDA-8F 3G PLUS-VB(M21012777) | 2010-12-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2030 | 半自动点测机+测试机 | MPI-维明 | LEDA-8F 3G PLUS-VB(M21102137/A | 2011-02-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2029 | 半自动点测机+测试机 | 旺矽科技股份有限公司 | LEDA-3GS+T200(M21207123) | 2012-07-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2028 | 半自动点测机+测试机 | 旺矽科技股份有限公司 | LEDA-8F E3G PIUS+LED617(M21207 | 2012-07-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2027 | 半自动点测机+测试机 | 旺矽科技股份有限公司 | LEDA-8F E3G PIUS+LEDG17(M21208 | 2012-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2026 | 半自动点测机+测试机 | 旺矽科技股份有限公司 | IEDA-8F E3G PIUS+LED617(M21208 | 2012-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2025 | 半自动点测机+测试机 | 旺矽科技股份有限公司 | LEDA-3GS+T200 (M21207120) | 2012-07-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2024 | 半自动点测机+测试机 | 旺矽科技股份有限公司 | LEDA-3GS+T200 (M21207124) | 2012-07-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2023 | 半自动点测机+测试机 | 旺矽科技股份有限公司 | LEDA-3GS+T200 (M21207127) | 2012-07-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2022 | 半自动点测机+测试机 | 旺矽科技股份有限公司 | LEDA-3GS+T200 (M21207128) | 2012-07-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2021 | 半自动点测机+测试机 | 旺矽科技股份有限公司 | LEDA-3GS+T200 (M21206814) | 2012-06-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2020 | 半自动点测机+测试机 | 旺矽科技股份有限公司 | L£DA-3GS+T200 (M21206833) | 2012-06-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2019 | 半自动点测机+测试机 | 旺矽科技股份有限公司 | LEDA-3GS+T200 (M212O6820) | 2012-06-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2018 | 半自动点测机+测试机 | 旺矽科技股份有限公司 | LEDA-3GS+T200 (M21206831) | 2012-06-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2017 | 半自动点测机+测试机 | 旺矽科技股份有限公司 | LEDA-3GA+T200 (M212O6824) | 2012-06-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2016 | 半自动点测机+测试机 | 旺矽科技股份有限公司 | LEDA-3GS+T200 (M21206819) | 2012-06-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2015 | 半自动点测机+测试机 | 旺矽科技股份有限公司 | LEDA-3GS+T20O (M21206822) | 2012-06-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2014 | 半自动点测机+测试机 | 旺矽科技股份育限公司 | LEDA-3GS+T200 (M21206823) | 2012-06-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2013 | 半自动点测机+测试机 | 旺矽科技股份有限公司 | LEDA-3GS+T200 (M212O6816) | 2012-06-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2012 | 半自动点测机+测试机 | 旺矽科技股份有限公司 | LEDA-3GS+T200 (M21206821) | 2012-06-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2011 | 半自动点测机+测试机 | 旺矽科技股份有限公司 | LEDA-3GS+T200 (M21206B26) | 2012-06-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2010 | 半自动点测机+测试机 | 旺矽科技冠份有限公司 | LEDA-6F E3G PIUS+LED617 (M2120 | 2012-06-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2009 | 半自动点测机+测试机 | 旺矽科技股份有限公司 | LEDA-8F E3G PIUS+LED617 (M2120 | 2012-06-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2008 | 半自动点测机+测试机 | 旺矽科技股份有限公司 | LEDA-8F E3G PIUS+l£D617 (M2120 | 2012-06-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2007 | 半自动点测机+测试机 | 旺矽科技股份有限公司 | LEDA-8F E3G PIUS+LED617 (M2120 | 2012-06-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2006 | 半自动点测机+测试机 | 旺矽科技股份有限公司 | LEDA-8F E3G PIUS+LED617 (M2120 | 2012-06-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2005 | 半自动点测机+测试机 | 旺砂科技股份有限公司 | LEDA-8F E3G PIUS+LED617 (M2120 | 2012-06-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2004 | 半自动点测机+测试机 | 旺矽科技般份有限公司 | LEDA-6F E3G PIUS+LED617 (M2120 | 2012-06-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2003 | 半自动点测机+测试机 | 旺矽科技股份有限公司 | LEDA-3GS+T200 (M21207121) | 2012-06-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2002 | 半自动点测机+测试机 | 旺矽科技股份有限公司 | LEDA-3GS+T200 (M21206815) | 2012-06-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2001 | 半自动点测机+测试机 | 旺矽科技股份有限公司 | LEDA-3GS+T200 (M21206820) | 2012-06-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
2000 | 半自动贴膜机 | 里德科技有限公司 | FSMM-T2(1011001) | 2010-11-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
1999 | 自动上蜡机 | 苏州恩普斯科技有限公司 | NSB-1050 | 2011-09-09 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
1998 | 全自动上蜡机 | 苏州恩普斯科技有限公司 | NSB-1050(SSB-235-12001) | 2012-08-27 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
1997 | 自动裂片机 | 宏腾科技股份有限公司 | WB-1100HE(S320110601) | 2011-06-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
1996 | 全自动Wafer点测机 | 旺矽科技股份有限公司 | Fully-auto(M21207566) | 2012-08-01 | 面议 | 260台设备可打包或单独出售 | 国内 | |||
1995 | HITACHI REGULUS 8230 | HITACHI | REGULUS 8230 | - | 面议 | - | 国外 | |||
1994 | TEL MARK 8涂布机 | TEL | MARK 8涂布机 | - | 面议 | - | 国外 | |||
1993 | DISCO DFL 7340 | DISCO | DFL7340 | - | 面议 | - | 国外 | |||
1992 | DISCO DFG 840 | DISCO | DFG840 | - | 面议 | - | 国外 | |||
1991 | TERADYNE J750 | TERADYNE | J750 | - | 面议 | - | 国外 | |||
1990 | HITACHI S-4700 | HITACHI | S-4700 | - | 面议 | - | 国外 | |||
1989 | HITACHI S-4500 | HITACHI | S-4500 | - | 面议 | - | 国外 | |||
1988 | HITACHI S-4800 | HITACHI | S-4800 | - | 面议 | - | 国外 | |||
1987 | AMAT APPLIED MATERIALS CENTURA AP MINOS | AMAT | APPLIED MATERIALS CENTURA AP M | - | 面议 | - | 国外 | |||
1986 | LAM Chambers for Altus, 12 | LAM 美国泛林 | Chambers for Altus, 12 | - | 面议 | - | 国外 | |||
1985 | DISCO DFD 6340 | DISCO | DFD6340 | 2006 | 面议 | - | 国外 | |||
1984 | DISCO DFD 6240 | DISCO | DFD6240 | - | 面议 | - | 国外 | |||
1983 | DISCO DAD 3650 | DISCO | DAD3650 | - | 面议 | - | 国外 | |||
1982 | DISCO DFD 641 | DISCO | DFD641 | - | 面议 | 2台一起卖 | 国外 | |||
1981 | AMAT APPLIED MATERIALS 8310 | AMAT | 8310氧化物蚀刻器8 | - | 面议 | - | 国外 | |||
1980 | NIKON NSR 2205 I11D | NIKON | NSR 2205 I11D | - | 面议 | - | 待投标 | |||
1979 | NIKON NSR 1505 G4D | NIKON | NSR 1505 G4D | - | 面议 | 有2台 | 国外 | |||
1978 | HITACHI S-806 | HITACHI | S-806 | - | 面议 | - | 国外 | |||
1977 | LAM RESEARCH EOS | LAM 美国泛林 | RESEARCH EOS | - | 面议 | - | 国外 | |||
1976 | HITACHI SU-1510 | HITACHI | SU-1510 | - | 面议 | - | 国外 | |||
1975 | DISCO DFL 7160 | DISCO | DFL7160 | - | 面议 | - | 国外 | |||
1974 | DISCO DFG 850 | DISCO | DFG850 | - | 面议 | - | 国外 | |||
1973 | DISCO EAD 6340全自动切割机 | DISCO | EAD6340 | - | 面议 | - | 国外 | |||
1972 | DISCO DAD 3350 | DISCO | DAD3350 | - | 面议 | - | 国外 | |||
1971 | DISCO DAD 641 | DISCO | DAD641 | - | 面议 | - | 国内 | |||
1970 | DISCO DFD 651 | DISCO | DFD651 | - | 面议 | - | 国内 | |||
1969 | DISCO DAG 810高配 | DISCO | DAG810高配 | - | 面议 | - | 待投标 | |||
1968 | DISCO DFG 841 | DISCO | DFG841 | - | 面议 | - | 国内 | |||
1967 | DISCO DAD 3350 | DISCO | DAD3350 | - | 面议 | - | 国内 | |||
1966 | DISCO DFD 6340裸 | DISCO | DFD6340裸 | - | 面议 | - | 国内 | |||
1965 | DISCO DFD 6361 | DISCO | DFD6361 | 2013 | 面议 | - | 国内 | |||
1964 | DISCO DFD 6362 | DISCO | DFD6362 | 2021 | 面议 | - | 国内 | |||
1963 | AMAT P5000薄膜沉积 | AMAT | P5000 | - | 面议 | - | 国内 | |||
1962 | AUTOMOTION LC4BP13002 | AUTOMOTION | LC4BP13002 | - | 面议 | - | 国内 | |||
1961 | BUEHLER ECOMETS3研磨封装 | BUEHLER | ECOMETS3 | - | 面议 | - | 国内 | |||
1960 | Burker D8 XRD薄膜测量 | Burker | D8 XRD | - | 面议 | - | 国内 | |||
1959 | EBX-1000 | EBX | EBX-1000 | - | 面议 | - | 国内 | |||
1958 | EVG 6200掩模对准系统 | EVG | 6200 | - | 面议 | - | 国内 | |||
1957 | EVG 620 BA光刻直写 | EVG | 620 BA | - | 面议 | - | 国内 | |||
1956 | EVG 620 光刻直写 | EVG | 620 | - | 面议 | - | 国内 | |||
1955 | HITACHI S-5200 | HITACHI | S-5200 | - | 面议 | - | 国内 | |||
1954 | INNOTEC LLE-2500 Evaporation System薄膜沉积 | INNOTEC | LLE-2500 | - | 面议 | - | 国内 | |||
1953 | KEYENCE KS-1100薄膜测量 | KEYENCE | KS-1100 | - | 面议 | - | 国内 | |||
1952 | KLA CANDELA CS2薄膜测量 | KLA | CANDELA CS2 | - | 面议 | - | 国内 | |||
1951 | Leybold UL100 Plus | Leybold | UL100 | - | 面议 | - | 国内 | |||
1950 | LOOMIS LSD100研磨封装 | LOOMIS | LSD100 | - | 面议 | - | 国内 | |||
1949 | MARCH CS-1701 | MARCH | CS-1701 | - | 面议 | - | 国内 | |||
1948 | MOCVD薄膜沉积 | - | - | - | 面议 | - | 国内 | |||
1947 | OKK OKV-61NR清洗甩干 | OKK | OKV-61NR | - | 面议 | - | 国内 | |||
1946 | Optical Associates Inc.OAI涂胶显影 | Optical | - | - | 面议 | - | 国内 | |||
1945 | optiphot 88 | optiphot | 88 | - | 面议 | - | 国内 | |||
1944 | OXFORD 100 PECVD | OXFORD | 100 PECVD | - | 面议 | - | 国内 | |||
1943 | P.System WPS3100芯片测试 | - | WPS3100 | - | 面议 | - | 国内 | |||
1942 | RIGAKU 3620薄膜测量 | - | 3620 | - | 面议 | - | 国内 | |||
1941 | RTP-600S | - | - | - | 面议 | - | 国内 | |||
1940 | RUDOLPH 2,4C薄膜测量 | - | - | - | 面议 | - | 国内 | |||
1939 | SAMCO PD-3800 | SAMCO | PD-3800 | - | 面议 | - | 国内 | |||
1938 | SAMCO PD-3800L薄膜沉积 | SAMCO | PD-3800L | - | 面议 | - | 国内 | |||
1937 | SAMCO PD-4800 | SAMCO | PD-4800 | - | 面议 | - | 国内 | |||
1936 | SAMCO RIE-200IPC刻蚀去胶 | SAMCO | RIE-200IPC | - | 面议 | - | 国内 | |||
1935 | SAMCO RIE-200IP刻蚀去胶 | SAMCO | RIE-200IP | - | 面议 | - | 国内 | |||
1934 | SAMCO RIE-212IPC刻蚀去胶 | SAMCO | RIE-212IPC | - | 面议 | - | 国内 | |||
1933 | SAMCO RIE-232IPC刻蚀去胶 | SAMCO | RIE-232IPC | - | 面议 | - | 国内 | |||
1932 | SPTS HRM ICP刻蚀去胶 | SPTS | HRM ICP | - | 面议 | - | 国内 | |||
1931 | STS PECVD薄膜沉积 | STS | PECVD | - | 面议 | - | 国内 | |||
1930 | SUSS FC150研磨封装 | SUSS | FC150 | - | 面议 | - | 国内 | |||
1929 | SUSS MA150光刻直写 | SUSS | MA150 | - | 面议 | - | 国内 | |||
1928 | SUSS SB6长晶键合 | SUSS | SB6 | - | 面议 | - | 国内 | |||
1927 | SV90S 涂胶显影机 | - | SV90S | - | 面议 | - | 国内 | |||
1926 | TECADIA TEC-3005KD研磨封装 | TECADIA | TEC-3005KD | - | 面议 | - | 国内 | |||
1925 | Tektronix 370A芯片测试 | Tektronix | 370A | - | 面议 | - | 国内 | |||
1924 | TEL CLEAN TRACK MK-2涂胶显影 | TEL | MK-2 | - | 面议 | - | 国内 | |||
1923 | TEL涂布机显影机 mark v | TEL | mark v | - | 面议 | - | 国内 | |||
1922 | Tencor Omnimap RS35C薄膜测量 | Tencor Omnimap | RS35C | - | 面议 | - | 国内 | |||
1921 | THERMCO 5200薄膜沉积 | THERMCO | 5200 | - | 面议 | - | 国内 | |||
1920 | TOKYO SEIMITSU A-PM-90A芯片测试 | TOKYO | A-PM-90A | - | 面议 | - | 国内 | |||
1919 | TOWA SL473D2 | TOWA | SL473D2 | - | 面议 | - | 国内 | |||
1918 | TSK UF300A | TSK | -UF300A | - | 面议 | - | 国内 | |||
1917 | ULVAC CC-200C薄膜沉积 | ULVAC | CC-200C | - | 面议 | - | 国内 | |||
1916 | ULVAC NA8000刻蚀去胶 | ULVAC | NA8000 | - | 面议 | - | 国内 | |||
1915 | ULVAC NE950刻蚀去胶 | ULVAC | NE950 | - | 面议 | - | 国内 | |||
1914 | ULVAC NE5000N刻蚀去胶 | ULVAC | NE5000N | - | 面议 | - | 国内 | |||
1913 | ULVAC SME 200 | ULVAC | SME 200 | - | 面议 | - | 国内 | |||
1912 | Varian 947 | Varian | 947 | - | 面议 | - | 国内 | |||
1911 | West Bond 7200AR研磨封装 | est Bond | 7200AR | - | 面议 | - | 国内 | |||
1910 | West bond 7400A研磨封装 | est Bond | 7400A | - | 面议 | - | 国内 | |||
1909 | YES-5 OVEN快退加热 | - | - | - | 面议 | - | 国内 | |||
1908 | 光刻机SUSS MA6 | SUSS | MA6 | - | 面议 | - | 国内 | |||
1907 | 光刻机 | CANON | MPA-500FA | 1982 | 面议 | 5" | 国内 | |||
1906 | 光刻机 | CANON | MPA-500FA | 1995 | 面议 | 5" | 国内 | |||
1905 | 光刻机 | CANON | MPA-500FAB | 1995 | 面议 | 5" | 国内 | |||
1904 | 光刻机 | CANON | MPA-500FAB | 1996 | 面议 | 5" | 国内 | |||
1903 | 光刻机 | CANON | MPA-500FA | 1983 | 面议 | 5" | 国内 | |||
1902 | 光刻机 | CANON | PLA-501FA | 1983 | 面议 | 5" | 国内 | |||
1901 | 涂胶显影机 | SVG | MSX1000 | - | 面议 | 2" | 国内 | |||
1900 | 刷片机 | DNS | SC-W80A-AVFG | 1996.12 | 面议 | 8" | 国内 | |||
1899 | 涂胶显影机 | DNS | SCW-636-BV | 1988 | 面议 | 6" | 国内 | |||
1898 | 显影机 | TEL | Mark-II | - | 面议 | 6" | 国内 | |||
1897 | 显影机 | TEL | Mark-II | - | 面议 | 6" | 国内 | |||
1896 | 扩散炉 | TEL | IW-6D | 1996 | 面议 | 5" | 国内 | |||
1895 | 扩散炉 | TEL | IW-6D | 1997 | 面议 | 5" | 国内 | |||
1894 | 扩散炉 | Tokyo Electron | UL-2604-08-HS | - | 面议 | 6" | 国内 | |||
1893 | 扩散炉 | Tokyo Electron | UL-2604-08-HS | - | 面议 | 6" | 国内 | |||
1892 | 扩散炉 | Tokyo Electron | UL-2604-08-HS | - | 面议 | 6" | 国内 | |||
1891 | 扩散炉 | Tokyo Electron | UL-2604-08L | 1990 | 面议 | 6" | 国内 | |||
1890 | 扩散炉 | DENKO SYSTEMS INC. | USD-6·D-11·2-2 | - | 面议 | 6" | 国内 | |||
1889 | 扩散炉 | DENKO SYSTEMS INC. | USD-6·D-12·2-1 | - | 面议 | 6" | 国内 | |||
1888 | 扩散炉 | DENKO SYSTEMS INC. | USD-6·D-5·3-2 | - | 面议 | 6" | 国内 | |||
1887 | 扩散炉 | DENKO SYSTEMS INC. | USD-6·D-7·3-2 | - | 面议 | 6" | 国内 | |||
1886 | 扩散炉 | DENKO SYSTEMS INC. | USD-6·D-8·3-7 | - | 面议 | 6" | 国内 | |||
1885 | 扩散炉 | DENKO SYSTEMS INC. | USD-6·D-8·3-8 | - | 面议 | 6" | 国内 | |||
1884 | 外延炉 | Toshiba Machine | EGV-28GX | 1998 | 面议 | 6" | 国内 | |||
1883 | 干法刻蚀机 | Shibaura | CDE-7-3A | - | 面议 | 6" | 国内 | |||
1882 | 干法刻蚀机 | 日立制作所 | M-308AT | - | 面议 | 6" | 国内 | |||
1881 | 干法刻蚀机 | Alcan Tech | MAS-801 | - | 面议 | 6" | 国内 | |||
1880 | 干法刻蚀机 | Alcan Tech | MAS-801 | - | 面议 | 6" | 国内 | |||
1879 | 干法刻蚀机 | Alcan Tech | MAS-801 | - | 面议 | 6" | 国内 | |||
1878 | 干法刻蚀机 | Alcan Tech | MAS-801 | - | 面议 | 6" | 国内 | |||
1877 | 干法刻蚀机 | TEL | TE480HGC | - | 面议 | 6" | 国内 | |||
1876 | 干法刻蚀机 | TEL | TE480HGC | - | 面议 | 6" | 国内 | |||
1875 | 扩散炉 | TEL SAGAMI LIMITED | VDF610S | - | 面议 | 6" | 国内 | |||
1874 | 离子注入机 | 日新NISSIN | NH-20SR | - | 面议 | 6" | 国内 | |||
1873 | 离子注入机 | 日新NISSIN | NH-20SR | - | 面议 | 6" | 国内 | |||
1872 | 打标机 | NEC Laser Automation | SL-473F | 1997 | 面议 | 5" | 国内 | |||
1871 | 烘箱 | DAN SCIENCE | DI-200H-AR | - | 面议 | 6" | 国内 | |||
1870 | 掩膜版测试仪 | TOKYO KOKUKEIKI K.K | MAC-92CV | - | 面议 | 6" | 国内 | |||
1869 | 电阻率测试仪 | NAPSON | RG-8 | - | 面议 | 6" | 国内 | |||
1868 | 旋转涂膜机 | Dainippon Screen MFC | SCW-622-BV | - | 面议 | 6" | 国内 | |||
1867 | 光刻机 | NIKON | NSR1505G6E | 1998 | 面议 | 6" | 国内 | |||
1866 | 光刻机 | NIKON | NSR1505G6E | 1998 | 面议 | 6" | 国内 | |||
1865 | 贴膜机 | NITTO SEIKI | Detaper | - | 面议 | 6" | 国内 | |||
1864 | 膜厚测量仪 | Nanometrics | M-215 | 1989.05 | 面议 | 6" | 国内 | |||
1863 | 膜厚测量仪 | Nanometrics | M-215 | 1989.05 | 面议 | 6" | 国内 | |||
1862 | 紫外线固胶机 | USHIO INC. | UMA-802-H55RM | - | 面议 | 6" | 国内 | |||
1861 | 紫外线固胶机 | USHIO INC. | UMA-802-H55RM | - | 面议 | 6" | 国内 | |||
1860 | 紫外线固胶机 | USHIO INC. | UMA-802-HC551RM | - | 面议 | 6" | 国内 | |||
1859 | 紫外线固胶机 | USHIO INC. | UMA-802-HC55RM | - | 面议 | 6" | 国内 | |||
1858 | 检版机 | VPRA-6 | - | 面议 | 6" | 国内 | ||||
1857 | 光刻机 | NIKON | NSR-SF120 | - | 面议 | 12" 缺件 | 国内 | |||
1856 | 光刻机 | NIKON | NSR-SF120 | - | 面议 | 12" 缺件 | 国内 | |||
1855 | 光刻机 | NIKON | NSR-SF130 | - | 面议 | 12" 缺件 | 国内 | |||
1854 | 光刻机 | NIKON | nikon 4425i | 1995.1 | 面议 | 8" 缺件 | 国内 | |||
1853 | 光刻机 | NIKON | NSR1755i7A | 1990.9 | 面议 | 6" 缺件 | 国内 | |||
1852 | 光刻机 | NIKON | NSR-2005I8A | - | 面议 | 6" | 国内 | |||
1851 | 涂胶显影机 | TEL | Lithius | 2005.3 | 面议 | 8" | 国内 | |||
1850 | 甩干机 | Toho technology | H840A | - | 面议 | 6" | 国内 | |||
1849 | 甩干机 | Toho technology | H841A | - | 面议 | 6" | 国内 | |||
1848 | 甩干机 | Arbrown | SPD-160RN | - | 面议 | 6" | 国内 | |||
1847 | 甩干机 | Arbrown | H1220RNN | - | 面议 | 6" | 国内 | |||
1846 | 甩干机 | SCREEN | R1W-811 | - | 面议 | 6" | 国内 | |||
1845 | 离子注入机 | Varian | Varian 120XP | 1989.9 | 面议 | 6" | 国内 | |||
1844 | 光刻机 | NIKON | NSR2005i8A | - | 面议 | 6" 备件机 | 国内 | |||
1843 | 光刻机 | NIKON | NSR1505G7E | - | 面议 | 6" 备件机 | 国内 | |||
1842 | 光刻机 | NIKON | NSR1505G6E | - | 面议 | 6" 备件机 | 国内 | |||
1841 | 扩散炉 | Tokyo Electron | DD-803V | 1991.7 | 面议 | 6" | 国内 | |||
1840 | 缺陷测试仪 | TECHNOS CO.,LTD | TREX610T | - | 面议 | 6" | 国内 | |||
1839 | Mark-8涂胶显影机 | TEL | Mark-8 | 1997.3 | 面议 | 8" | 国内 | |||
1838 | 涂胶机 | SVG | SVG8800 | - | 面议 | 6" | 国内 | |||
1837 | 涂胶机 | SVG | SVG8800 | - | 面议 | 6" | 国内 | |||
1836 | 显影机 | SVG | SVG8800 | - | 面议 | 6" | 国内 | |||
1835 | 显影机 | SVG | SVG8800 | - | 面议 | 6" | 国内 | |||
1834 | 烘箱 | Yes-1 | - | 面议 | 6" | 国内 | ||||
1833 | 旋涂机(3个工位) | MIKASA | 3H-D3 | 1991 | 面议 | - | 国外 | |||
1832 | 旋涂机 | DAILITE | S-488 | 2002 | 面议 | - | 国外 | |||
1831 | 半自动浸涂布机 | SDI COMPANY | SA-0903 | 2009 | 面议 | 设备名称:半自动浸涂机 型号 |
国外 | |||
1830 | 旋涂机 | S&D | SDC-600 | 2008 | 面议 | - | 国外 | |||
1829 | 旋转涂布机 | MIKASA | MS-A150 | - | 面议 | - | 国外 | |||
1828 | 蚀刻装置 | TOK | TCE-3822 | 2011 | 面议 | 设备名称:蚀刻装置 型号:T |
国外 | |||
1827 | 金属干法蚀刻机 | Panasonic | E620I | 2013 | 面议 | - | 国外 | |||
1826 | 真空蚀刻设备(ECR) | CANON | L-310R-E | 1999 | 面议 | 设备名称:真空蚀刻设备(ECR | 国外 | |||
1825 | RIE等离子蚀刻系统 | SAMCO | RIE-200NL | 2008 | 面议 | - | 国外 | |||
1824 | 真空蚀刻设备 | CANON | L-201D-L | 1998 | 面议 | - | 国外 | |||
1823 | 立式扩散炉 | Hitachi日立 | DD-812V | 2007 | 面议 | - | 国外 | |||
1822 | CVD设备氧化硅膜 | SAMCO | PD-200STP | 2010 | 面议 | 设备名称:液体原料CVD设备 |
国外 | |||
1821 | 等离子CVD设备(DLC薄膜) | SAMCO | PD-10M特 | - | 面议 | - | 国外 | |||
1820 | 溅镀装置(DVD) | 芝浦机电一体化 | S-200U | 2010 | 面议 | 设备名称:溅镀装置(DVD) |
国外 | |||
1819 | 溅射设备 | CANON | SPF-730 | - | 面议 | 设备名称:溅射设备批量类型 |
国外 | |||
1818 | 溅射装置(CtoC)单晶片型 | CANON | C-7960FL | 1998 | 面议 | - | 国外 | |||
1817 | 溅射设备(CtoC) | CANON | L-501S-FHL | 2004 | 面议 | 设备名称:溅射设备(CtoC) | 国外 | |||
1816 | 溅射装置(DVD) | SHIBAURA | COAT-7000 | 1999 | 面议 | - | 国外 | |||
1815 | 溅射设备 | CANON | L-332S-FH | 1998 | 面议 | - | 国外 | |||
1814 | 批量式溅射设备(PVD) | ULVAC | SV-200 | 2000 | 面议 | - | 国外 | |||
1813 | 溅射设备 | JVC | F0692 | - | 面议 | - | 国外 | |||
1812 | 溅射设备 | ULVAC | SME-200E | 2006 | 面议 | - | 国外 | |||
1811 | 溅射设备 | CANON | C-3103 | 1996 | 面议 | 设备名称:溅射设备 型号:C |
国外 | |||
1810 | 溅镀装置(DVD) | SHIBAURA | COAT-7000 | 1999 | 面议 | - | 国外 | |||
1809 | 薄膜沉积设备 | CANON | 特型L-400EK-L | 2002 | 面议 | 设备名称:薄膜沉积设备 型号 |
国外 | |||
1808 | 校对装置 | AYUMI | AL-60M | 2005 | 面议 | - | 国外 | |||
1807 | 晶圆・粉尘检测设备 | TOPCON | WM-3 | - | 面议 | 设备名称:晶圆粉尘检查设备 |
国外 | |||
1806 | 光罩校准器 | CANON | PLA-600F | - | 面议 | - | 国外 | |||
1805 | 光罩校准器 | CANON | PLA-600 | - | 面议 | - | 国外 | |||
1804 | 液晶注入装置 | SHIMADZU島津 | ALIS-100X-33CⅡ | 2005 | 面议 | 设备名称:液晶注⼊ | 国外 | |||
1803 | 紫外线臭氧清洁设备 | Techno Rise | TUVC150SO | 2004 | 面议 | - | 国外 | |||
1802 | 光罩旋转清洁机 | KMX | MSC-150S-MS | 1999 | 面议 | - | 国外 | |||
1801 | 紫外线照射装置 | USHIO | UIS-25103AA | 2012 | 面议 | 设备名称:紫外线照射装置 型 |
国外 | |||
1800 | 紫外线照射装置 | ORC | ORE4002A | 2005 | 面议 | 设备名称:紫外线照射装置 型 |
国外 | |||
1799 | 旋转干燥机 | AR BROWN | SPD-160RN | 1995 | 面议 | - | 国外 | |||
1798 | 旋转漂洗干燥机 | SEMITOOL | SRD-470S | - | 面议 | 1、电源电压:1相100V 1 | 国外 | |||
1797 | FPP用高压喷射水流装置 | RIX | JS-100-DD | 2005 | 面议 | - | 国外 | |||
1796 | 晶圆保护膜粘贴装置(覆膜机) | ULTRONICS | UH108 | - | 面议 | 设备名称:晶圆保护膜粘贴装置 |
国外 | |||
1795 | 晶圆保护膜剥离器(除膜机) | ULTRONICS | UH110 | - | 面议 | 设备名称:晶圆胶带剥离器 型 |
国外 | |||
1794 | 晶圆转移装置转移机 | Takatori | TRA-P | 2004 | 面议 | 设备名称:晶圆转移装置转移机 |
国外 | |||
1793 | 转移机器人 | JEL | SHR3130S-200-PM-0092 | - | 面议 | 设备名称:转移机器Ҵ | 国外 | |||
1792 | 转移机器人 | Brooks Automation | MultiTran? 5/VacuTran? 5 | - | 面议 | - | 国外 | |||
1791 | 晶圆转移机 | IMES | WTMC01 | 2008 | 面议 | - | 国外 | |||
1790 | 晶圆剥离装置 | Daitron | DDMT-200-E | 2011 | 面议 | - | 国外 | |||
1789 | 红外灯加热装置可变气氛灯 | ULVAC | pss85/85 | 2008.6 | 面议 | 设备名称:红外灯加热装置可变& | 国外 | |||
1788 | 晶圆传送设备 | YDK | triton-EFEM | - | 面议 | - | 国外 | |||
1787 | 隔膜式真空层压机 | NISHIKAWA西川制作所 | EG-0901 | 2009 | 面议 | 设备名称:均热散热板粘贴装置 |
国外 | |||
1786 | 曝光装置 | Oak橡树制造 | EXF-2005-B-00 | 2006 | 面议 | 设备名称:光刻装置 型号:E |
国外 | |||
1785 | 纯水循环装置 | DISCO | DWR1721 | - | 面议 | - | 国外 | |||
1784 | 纯水循环设备 | DISCO | DWR1722 | 2016 | 面议 | - | 国外 | |||
1783 | DISCO EAD 6340全自动切割机 | DISCO | EAD6340 | - | 面议 | - | 国外 | |||
1782 | 激光切割机 | DISCO | DFL7340 | - | 面议 | - | 国外 | |||
1781 | 离子铣削装置 | Hitachi⽇⽴ | IMR-3-1 | 1992 | 面议 | 设备名称:离⼦铣 | 国外 | |||
1780 | 隔膜式真空层压机 | NISHIKAWA | EG-0901 | 2009 | 面议 | 设备名称:均热散热板粘贴装置 |
国外 | |||
1779 | 倒装芯片键合机 | Panasonic | NM-SB50A | 2017 | 面议 | - | 国外 | |||
1778 | Diamond FP X 射线检测系统 | Nordson | XD7600NT | 2011 | 面议 | - | 国外 | |||
1777 | 退火炉 | DSI | HCT2B28HEX-3 | 2007 | 面议 | - | 国外 | |||
1776 | 晶圆贴片机 Waffer Maunter | Technovision | FM-903S | - | 面议 | - | 国外 | |||
1775 | 微小线幅测定装置 | Hitachi日立 | WF-R55UV-H5 | 2007 | 面议 | 设备名称:微线宽测量装置 型 |
国外 | |||
1774 | 接合装置 阳级真空接合装置 | Ayumi Industry | BH-50 | 2009 | 面议 | 设备名称:接合装置阳极真空接合 | 国外 | |||
1773 | 红外灯加热炉 RAT | ULVAC | RTA-4050 | 2002 | 面议 | 设备名称:红外线灯加热装置 R | 国外 | |||
1772 | 6寸基板加热炉 | Futek Furnace | VF-KH150 | - | 面议 | 设备名称:加热炉6英x | 国外 | |||
1771 | OLED发布寿命测试 | EHC | ELS-100S | - | 面议 | - | 国外 | |||
1770 | 紫外线固化曝光设备 | USHIO | CE-6000CH | 2005 | 面议 | 设备名称:6⼨晶 | 国外 | |||
1769 | ⼤⽓压等离子体装置 | Electronic Plaza | 2220 | 2010 | 面议 | 设备名称:⼤ | 国外 | |||
1768 | 晶圆阻抗形状测试机 | KOBELCO | RPW-1000M | 2004 | 面议 | - | 国外 | |||
1767 | 落地式超速离心机 | BECKMAN/COULTER | OPTIMA XE-90 | 2014 | 面议 | - | 国外 | |||
1766 | CV测试仪 | Four Dimension | CVmap 92A | 2014 | 面议 | 设备名称:CV测试仪 型号: |
国外 | |||
1765 | 自动曝光对位机 | MIKASA | MA-20 | 1997 | 面议 | 设备名称:对准曝光机 商品编 |
国外 | |||
1764 | 激光修整装置 | AMADA MIYACHI | MS-TR4871 | 2012 | 面议 | 设备名称:(HIC)修复装置 |
国外 | |||
1763 | 光掩膜清洗装置 | Technovision | TW-300 | 1997 | 面议 | 设备名称:光罩清洗装置 型号 |
国外 | |||
1762 | 可变氛围灯加热装置 | ULVAC | Pss85/85 | 2008 | 面议 | 设备名称:红外灯加热装置可变& | 国外 | |||
1761 | 切割设备 | ACCRETECH | A-WD-5001A | 1999 | 面议 | - | 国外 | |||
1760 | 阳极真空键合设备 | AYUMI | AB-40A-S | 2007 | 面议 | - | 国外 | |||
1759 | 覆膜机 | CLIMB PRODU | SE330H | 2009 | 面议 | 设备名称:覆膜装置 型号:S |
国外 | |||
1758 | 红外灯加热装置 | ULVAC | VHC-E416 | - | 面议 | 设备名称:红外灯加热装置 | 国外 | |||
1757 | 离子铣削装置 | Hitachi日立 | IMR-3-1 | 1992 | 面议 | 设备名称:离⼦铣 | 国外 | |||
1756 | 远程等离子体源 | ADVANCED ENERGYAE | LITMAS RPS | 2020 | 面议 | 设备名称:远程等离ҷ | 国外 | |||
1755 | 台式抛光机打磨机 | Eyelash curler | 49-5100-115 | - | 面议 | 设备名称:台式抛光机打磨机 |
国外 | |||
1754 | TF切断装置 | Apic Yamada | CS-813-1 | 1997 | 面议 | 设备名称:TF切断装置 型号 |
国外 | |||
1753 | 圆度测量仪 | Taylor Hobson | TALYROND 265 | 2000 | 面议 | 设备名称:圆度测量仪 型号: |
国外 | |||
1752 | 偏光板粘贴装置 | ⽯⼭制作所 | FS0823 | 1997 | 面议 | - | 国外 | |||
1751 | 抗蚀剂剥离清洗装置 | access | MSR-360U | - | 面议 | - | 国外 | |||
1750 | 紫外线照射装置(紫外线固化) | Sen special light/source | HCT2B28HEX-3 | - | 面议 | - | 国外 | |||
1749 | 等离子反应器-等离子处理设备 | 大和材料yamato | PiPi | - | 面议 | 设备名称:⼩型台 | 国外 | |||
1748 | CV测量装置 | Four Dimension | CV MAP 92A | 2014 | 面议 | 设备名称:CV测量装置 型号 |
国外 | |||
1747 | 热油实试验机/通孔可靠性测试仪 | SHIMAKAWA | PH-500D | 2004 | 面议 | 设备名称:热油实试验机/通孔可 | 国外 | |||
1746 | 膜厚测定装置 | Nanometrics | M6100 | - | 面议 | 设备名称:膜厚测定装置 型号 |
国外 | |||
1745 | 膜厚测定装置 | 纳米计量学 | 7200-2134 | - | 面议 | 设备名称:膜厚测定装置 型号 |
国外 | |||
1744 | 膜厚测定装置 | Nanometrics | M6100UV-L6 | - | 面议 | 设备名称:膜厚测定装置 型号 |
国外 | |||
1743 | 膜厚测定装置 | Nanometrics | M6100 | - | 面议 | 设备名称:膜厚测定装置 型号 |
国外 | |||
1742 | 抛光机 | Logitech | 1PM52 | - | 面议 | 设备名称:抛光机 型号:1P |
国外 | |||
1741 | 断线装置断线机 | 小坂研究所 | JKB-505M | - | 面议 | 设备名称:断线装置断线机 型 |
国外 | |||
1740 | 加热炉6英寸基板加热炉 | Futec Furness | VF-KH150 | - | 面议 | 设备名称:加热炉6英x | 国外 | |||
1739 | 射频电源 | Adtech | AXR-1000 | 2008 | 面议 | 设备名称:射频电源 型号:A |
国外 | |||
1738 | 追踪检查装置 | OHT | TTS-02 | 2004 | 面议 | 设备名称:追踪检查装置 型号 |
国外 | |||
1737 | 回流炉-远红外台式回流焊装置 | 日本脉冲技术研究所 | RF-330 | 2008 | 面议 | 设备名称:回流炉 型号:RF |
国外 | |||
1736 | 钠灯 传输用复合照明装置 | 同润光机 | KLTFNL-F404N18 | 2008 | 面议 | 设备名称:钠灯传输ҽ | 国外 | |||
1735 | 等离子处理设备 | 大和材料 | PiPi | 2016 | 面议 | 设备名称:等离⼦ | 国外 | |||
1734 | 直流电源脉冲直流等离子发生器 | MKS ENI | RPG-50A | 2008 | 面议 | 设备名称:直流电源脉冲直流等离 | 国外 | |||
1733 | 恒温槽 | 长野科学 | CH43-W14P | 2008 | 面议 | 设备名称:恒温槽 型号:CH |
国外 | |||
1732 | 玻璃破碎机 | Joyo/Engineering | JKB-500M-A-1 | 2004 | 面议 | 设备名称:玻璃破碎机 型号: |
国外 | |||
1731 | 高压等离子体装置 | Electronic Plaza | 2220 | 2010 | 面议 | 设备名称:⼤ | 国外 | |||
1730 | ACF粘贴机 | SIC | NAL02-10 | 2005 | 面议 | 设备名称:ACF粘贴机 型号 |
国外 | |||
1729 | 紫外线照射装置 | FUSION UV/SYSTEM | DRW-116Q-G | 2013 | 面议 | - | 国外 | |||
1728 | X射线荧光膜测厚仪 | SII精工仪器 | SFT9200 | 2003 | 面议 | 设备名称:X射线荧光膜测厚仪 |
国外 | |||
1727 | 平面磨床超精密磨床超精密 | Nagase Integrex | NSF-600 | 2017 | 面议 | 设备名称:平⾯磨 | 国外 | |||
1726 | 超声波清洗机 | 本⽥电⼦ | W-100-HFMKⅡ | 2001 | 面议 | 设备名称:超声波清洗机 型号 |
国外 | |||
1725 | 测⼒计载荷测量推拉⼒计 | 爱科工程 | MODEL-1605ⅡV | 2007 | 面议 | 设备名称:测⼒计 | 国外 | |||
1724 | RF电源 | 珍珠工业 | RP-1000-13M | 1999 | 面议 | 设备名称:RF电源 型号:R |
国外 | |||
1723 | 红外分光光度计 | 日本光谱 | FT/IR-4100 IRT-5000 | - | 面议 | 设备名称:红外分光光度计 型 |
国外 | |||
1722 | AOI检查设备板外观检查设备 | INSPEC | SX5300 | 2017 | 面议 | 设备名称:AOI 检查设备板外 | 国外 | |||
1721 | 低温泵 | HELIX CTI螺旋 | ON-BOARD 8F | - | 面议 | 设备名称:低温泵 型号:ON |
国外 | |||
1720 | 高精度精密薄膜流量计 | STEC | V-40 | - | 面议 | 设备名称:薄膜流量计(测量单元 | 国外 | |||
1719 | 射频电源套装产品 | 京三 | RFK75Z | - | 面议 | 设备名称:射频电源套装产品 |
国外 | |||
1718 | 光源紫外线照射装置冷光 | 莫⾥泰克斯 | MUV-250U-L | - | 面议 | 设备名称:光源紫外线照射装置冷 | 国外 | |||
1717 | WAFER PROBER | ACCRETECH | UF200 | 2000 | 面议 | TEST | 国外 | |||
1716 | WAFER PROBER | ACCRETECH | UF200 | - | 面议 | TEST | 国外 | |||
1715 | WAFER PROBER | ACCRETECH | UF200 | 1998 | 面议 | TEST | 国外 | |||
1714 | WAFER PROBER | ACCRETECH | UF200A | 2003 | 面议 | TEST | 国外 | |||
1713 | WAFER PROBER | ACCRETECH | UF200SA | 2005 | 面议 | TEST | 国外 | |||
1712 | WAFER PROBER | ACCRETECH | UF200SA | 2005 | 面议 | TEST | 国外 | |||
1711 | WAFER PROBER | ACCRETECH | UF200SA | 2004 | 面议 | TEST | 国外 | |||
1710 | WAFER PROBER | ACCRETECH | UF3000 | 2006 | 面议 | TEST | 国外 | |||
1709 | WAFER PROBER | ACCRETECH | UF3000EX | 2014 | 面议 | TEST | 国外 | |||
1708 | TESTER | ADVANTEST (Verigy) | 93000 C200e | 2007 | 面议 | TEST | 国外 | |||
1707 | TESTER | Agilent | 4072A | 2004 | 面议 | TEST | 国外 | |||
1706 | TESTER | Agilent | 4073A | 2002 | 面议 | TEST | 国外 | |||
1705 | TESTER_PARAMETRIC TEST | Agilent | 4142B | 2000 | 面议 | TEST | 国外 | |||
1704 | CVD | Applied Materials | AKT-3500 | 2018 | 面议 | CVD | 国外 | |||
1703 | POLY ETCHER | Applied Materials | Centura DPS | 1998 | 面议 | ETCH | 国外 | |||
1702 | PLASMA CVD | Applied Materials | Centura DXZ | 1999 | 面议 | CVD | 国外 | |||
1701 | OXIDE ETCHER | Applied Materials | Centura MXP | 1997 | 面议 | ETCH | 国外 | |||
1700 | RTA | Applied Materials | Centura XE | 2003 | 面议 | RTP | 国外 | |||
1699 | RTA | Applied Materials | Centura XE+ | 2003 | 面议 | RTP | 国外 | |||
1698 | PLASMA CVD | Applied Materials | P-5000 | 1990 | 面议 | CVD | 国外 | |||
1697 | PLASMA CVD | ASM | Eagle10 | 1998 | 面议 | CVD | 国外 | |||
1696 | PLASMA CVD | ASM | Eagle10 TRIDENT | 2007 | 面议 | CVD | 国外 | |||
1695 | AP-CVD | Aviza | WJ-1000H | 1996 | 面议 | CVD | 国外 | |||
1694 | RTA | CANON | HP-8800 | 2001 | 面议 | RTP | 国外 | |||
1693 | ASHER | CANON | MAS-8200 | - | 面议 | ETCH | 国外 | |||
1692 | ASHER | CANON | MAS-8220 | - | 面议 | ETCH | 国外 | |||
1691 | MASK ALIGNER | CANON | MPA-600FA | 1988 | 面议 | PHOTOLITHO | 国外 | |||
1690 | SPUTTERING SYSTEM | CANON | I-1060SV2 Plus1 | 1999 | 面议 | PVD | 国外 | |||
1689 | SPUTTERING SYSTEM | CANON | I-1080PVD | 2001 | 面议 | PVD | 国外 | |||
1688 | SPUTTERING SYSTEM | CANON | ILC-1080 | 2003 | 面议 | PVD | 国外 | |||
1687 | VACUUM LEAK DETECTOR | CANON | M-222LD-D | 2011 | 面议 | OTHERS | 国外 | |||
1686 | DICER | DISCO | DAD3350 | - | 面议 | DICING | 国外 | |||
1685 | AUTOMATIC CLEANING SYSTEM | DISCO | DCS141 | - | 面议 | DICING | 国外 | |||
1684 | DICER | DISCO | DFD-2S/8 | - | 面议 | DICING | 国外 | |||
1683 | DICER | DISCO | DFD6240 | 2004 | 面议 | DICING | 国外 | |||
1682 | DISCO DFD 6340 | DISCO | DFD6340 | 2008 | 面议 | DICING | 国外 | |||
1681 | GAS SCRUBBER | EBARA | GDC250SA | 2012 | 面议 | OTHERS | 国外 | |||
1680 | PLATING | EBARA | UFP100(150A) | - | 面议 | PLATING | 国外 | |||
1679 | LASER REPAIR SYSTEM | ESI | ESI 9350 | 2004 | 面议 | TEST | 国外 | |||
1678 | FILM STRESS MEASURMENT | FSM | FSM-128 | 2001 | 面议 | METROLOGY | 国外 | |||
1677 | FILM STRESS MEASURMENT | FSM | FSM-128 | - | 面议 | METROLOGY | 国外 | |||
1676 | Wafer Marker | GSI | MARK-EM | 2002 | 面议 | MARKING | 国外 | |||
1675 | ION MILLING | Hitachi日立 | IML-6-1 | 1997 | 面议 | ETCH | 国外 | |||
1674 | AIR DUST MONITOR | Hitachi日立 | TS-3700 | 1990 | 面议 | OTHERS | 国外 | |||
1673 | AIR DUST MONITOR | Hitachi日立 | TS-6500 | 2000 | 面议 | OTHERS | 国外 | |||
1672 | FIB | Hitachi日立 | FB-2100 | 2002 | 面议 | METROLOGY | 国外 | |||
1671 | ION MILLING | Hitachi日立 | IM4000 | 2012 | 面议 | ETCH | 国外 | |||
1670 | NANO PROBER | Hitachi日立 | NE4000 | 2011 | 面议 | METROLOGY | 国外 | |||
1669 | REVIEW SEM | Hitachi日立 | S-3400N | 2010 | 面议 | METROLOGY | 国外 | |||
1668 | REVIEW SEM | Hitachi日立 | S-3700N | 2012 | 面议 | METROLOGY | 国外 | |||
1667 | REVIEW SEM | Hitachi日立 | S-4500 | 1996 | 面议 | METROLOGY | 国外 | |||
1666 | REVIEW SEM | Hitachi日立 | S-4800 | 2004 | 面议 | METROLOGY | 国外 | |||
1665 | MICROSCOPE | Hitachi日立 | TM3000 | 2012 | 面议 | METROLOGY | 国外 | |||
1664 | LASER MARKER | KEYENCE | ML-Z9500/9510 | 2008 | 面议 | MARKING | 国外 | |||
1663 | DEFECT INSPECTION | KLA | AITⅡ | 1999 | 面议 | METROLOGY | 国外 | |||
1662 | OVERLAY METROLOGY SYSTEM | KLA | ARCHER200 | 2009 | 面议 | METROLOGY | 国外 | |||
1661 | REVIEW SEM | KLA | eDR5210S | 2011 | 面议 | METROLOGY | 国外 | |||
1660 | FILM THICKNESS MEASUREMENT | KLA | UV1280SE | 2003 | 面议 | METROLOGY | 国外 | |||
1659 | LIFETIME MEASURING | KOBELCO | LTA-500 | 1996 | 面议 | METROLOGY | 国外 | |||
1658 | LIFETIME MEASURING | KOBELCO | LTA-550 | 1991 | 面议 | METROLOGY | 国外 | |||
1657 | WIRE BONDER | Kulicke & Soffa | Maxum ultra | 2008 | 面议 | BONDING | 国外 | |||
1656 | WIRE BONDER | Kulicke & Soffa | Maxum ultra | 2008 | 面议 | BONDING | 国外 | |||
1655 | PLASMA CVD_W | LAM RESEARCH | ONE-W | 1995 | 面议 | CVD | 国外 | |||
1654 | PLASMA CVD | LAM RESEARCH | TWO | 2000 | 面议 | CVD | 国外 | |||
1653 | PLASMA CVD | LAM RESEARCH | TWO Speed | 2000 | 面议 | CVD | 国外 | |||
1652 | SPIN ETCHER | LAM RESEARCH | RST201 | 1996 | 面议 | WET | 国外 | |||
1651 | SPIN ETCHER | LAM RESEARCH | RST201 | 1997 | 面议 | WET | 国外 | |||
1650 | SPIN ETCHER | LAM RESEARCH | SEZ203 | 2005 | 面议 | WET | 国外 | |||
1649 | UV IRRADIATION SYSTEM | LINTEC | RAD-2000M/6 | 2005 | 面议 | DICING | 国外 | |||
1648 | UV IRRADIATION SYSTEM | LINTEC | RAD-2000m/8 | 2006 | 面议 | DICING | 国外 | |||
1647 | WAFER MOUNTER | LINTEC | RAD-2500m/8 | 2007 | 面议 | MOUNTING | 国外 | |||
1646 | FILM THICKNESS MEASUREMENT | Nanometrics | NanoSpec6100 | 1998 | 面议 | METROLOGY | 国外 | |||
1645 | FILM THICKNESS MEASUREMENT | Nanometrics | NanoSpec9200 | 2001 | 面议 | METROLOGY | 国外 | |||
1644 | FILM THICKNESS MEASUREMENT | Nanometrics | NanoSpec9310 | 2008 | 面议 | METROLOGY | 国外 | |||
1643 | STEPPER_i-Line | NIKON | NSR2005i10C | 1994 | 面议 | PHOTOLITHO | 国外 | |||
1642 | STEPPER_KrF | NIKON | NSR-2205EX14C | 1998 | 面议 | PHOTOLITHO | 国外 | |||
1641 | STEPPER_KrF | NIKON | NSR-2205EX14C | 1999 | 面议 | PHOTOLITHO | 国外 | |||
1640 | STEPPER_i-Line | NIKON | NSR-4425i | 1997 | 面议 | PHOTOLITHO | 国外 | |||
1639 | EPITAXIAL DEPOSITION | NuFlare Technology | HT2000B | 2007 | 面议 | CVD | 国外 | |||
1638 | CMP | Okamoto | SPP-600S GRIND | 1998 | 面议 | CMP | 国外 | |||
1637 | SUPERCRITICAL RINSER&DRYER | Rexxam | SCRD6 | 2008 | 面议 | WET | 国外 | |||
1636 | FILM THICKNESS MEASUREMENT | Rudolph | S200ETCH | 2000 | 面议 | METROLOGY | 国外 | |||
1635 | POST CMP CLEANING SYSTEM | SCREEN | AS2000 | 1998 | 面议 | WET | 国外 | |||
1634 | POST CMP CLEANING SYSTEM | SCREEN | AS2000 | 1998 | 面议 | WET | 国外 | |||
1633 | POST CMP CLEANING SYSTEM | SCREEN | AS2000 | 2000 | 面议 | WET | 国外 | |||
1632 | RTA | SCREEN | LA-830 | - | 面议 | RTP | 国外 | |||
1631 | COATER | SCREEN | SK-80BW-AVQ | 1998 | 面议 | PHOTOLITHO | 国外 | |||
1630 | WAFER SCRUBBER | SCREEN | SS-W80A-AR | 1995 | 面议 | WET | 国外 | |||
1629 | WAFER SCRUBBER | SCREEN | SS-W80A-AR | 2000 | 面议 | WET | 国外 | |||
1628 | WAFER SCRUBBER | SCREEN | SS-W80A-AVR | 1998 | 面议 | WET | 国外 | |||
1627 | WAFER SCRUBBER | SCREEN | SS-W80A-AVR | 2002 | 面议 | WET | 国外 | |||
1626 | FILM THICKNESS MEASUREMENT | SOPRA | GESP5 | 2010 | 面议 | METROLOGY | 国外 | |||
1625 | MO CVD | TAIYO NIPPON SANSO | SR64212HKS | 2010 | 面议 | CVD | 国外 | |||
1624 | AUTOMATIC VISUAL INSPECTION | TAKANO (TOPCON) | Vi-4202 | 2004 | 面议 | METROLOGY | 国外 | |||
1623 | WAFER PARTICLE COUNTER | TAKANO (TOPCON) | WM-5000 | 2005 | 面议 | METROLOGY | 国外 | |||
1622 | TAPE REMOVER | Takatori | AMR-2200G | - | 面议 | BACK GRIND | 国外 | |||
1621 | COATER&DEVELOPER | TEL | ACT8(2C2D) | 1998 | 面议 | PHOTOLITHO | 国外 | |||
1620 | DIFFUSION | TEL | Alpha-8SE | 2005 | 面议 | DIFFUSION | 国外 | |||
1619 | FURNACE_LP-CVD | TEL | IW-6C | 1994 | 面议 | FURNACE | 国外 | |||
1618 | CLEANING SYSTEM | TEL | MARK-7 | 1993 | 面议 | WET | 国外 | |||
1617 | COATER | TEL | MARK-8 | 1999 | 面议 | PHOTOLITHO | 国外 | |||
1616 | COATER&DEVELOPER | TEL | MARK-V | 1992 | 面议 | PHOTOLITHO | 国外 | |||
1615 | COATER&DEVELOPER | TEL | MARK-Vz | 1998 | 面议 | PHOTOLITHO | 国外 | |||
1614 | WAFER PROBER | TEL | P-12XL | 2002 | 面议 | TEST | 国外 | |||
1613 | WAFER PROBER | TEL | P-12XL | 2002 | 面议 | TEST | 国外 | |||
1612 | WAFER PROBER | TEL | P-12XLn | 2006 | 面议 | TEST | 国外 | |||
1611 | WAFER PROBER | TEL | P-8XL | 2000 | 面议 | TEST | 国外 | |||
1610 | WAFER PROBER | TEL | P-8XL | 2004 | 面议 | TEST | 国外 | |||
1609 | WAFER PROBER | TEL | P-8XL | 2008 | 面议 | TEST | 国外 | |||
1608 | WAFER SCRUBBER | TEL | SS-4 | 2001 | 面议 | WET | 国外 | |||
1607 | WAFER SCRUBBER | TEL | SS-4 | 2003 | 面议 | WET | 国外 | |||
1606 | PLASMA ETCHER | TEL | TACTRAS | 2013 | 面议 | ETCH | 国外 | |||
1605 | OXIDE ETCHER | TEL | TE5000ATC | 1992 | 面议 | ETCH | 国外 | |||
1604 | OXIDE ETCHER | TEL | TE8500(S) | 2000 | 面议 | ETCH | 国外 | |||
1603 | OXIDE ETCHER | TEL | TE8500(S)ATC | 1992 | 面议 | ETCH | 国外 | |||
1602 | OXIDE ETCHER | TEL | TE8500(S)ATC | 1993 | 面议 | ETCH | 国外 | |||
1601 | OXIDE ETCHER | TEL | TE8500(S)ATC | 1995 | 面议 | ETCH | 国外 | |||
1600 | OXIDE ETCHER | TEL | TE8500ATC | 1995 | 面议 | ETCH | 国外 | |||
1599 | OXIDE ETCHER | TEL | Telius SCCM | 2000 | 面议 | ETCH | 国外 | |||
1598 | OXIDE ETCHER | TEL | Unity Me 85D | 2003 | 面议 | ETCH | 国外 | |||
1597 | OXIDE ETCHER | TEL | UnityII-855II | 1996 | 面议 | ETCH | 国外 | |||
1596 | OXIDE ETCHER | TEL | UnityII-855II | 1996 | 面议 | ETCH | 国外 | |||
1595 | OXIDE ETCHER | TEL | UnityIIe-655II | 2002 | 面议 | ETCH | 国外 | |||
1594 | OXIDE ETCHER | TEL | UnityIIe-855II | 1997 | 面议 | ETCH | 国外 | |||
1593 | OXIDE ETCHER | TEL | UnityIIe-855II | 2002 | 面议 | ETCH | 国外 | |||
1592 | OXIDE ETCHER | TEL | UnityIIe-855SS | 2000 | 面议 | ETCH | 国外 | |||
1591 | OXIDE ETCHER | TEL | UnityIIe-855SS | 2006 | 面议 | ETCH | 国外 | |||
1590 | ASHER | TOK | TCA-3822 | 1995 | 面议 | ASHER | 国外 | |||
1589 | ASHER | TOK | TCA-3822 | 1995 | 面议 | ASHER | 国外 | |||
1588 | OXIDE ETCHER | TOK | TCE-3822 | - | 面议 | ETCH | 国外 | |||
1587 | OXIDE ETCHER | TOK | TCE-3822 | - | 面议 | ETCH | 国外 | |||
1586 | AUTOMATIC VISUAL INSPECTION | TORAY | INSPECTRA-3000TR200M | 2015 | 面议 | METROLOGY | 国外 | |||
1585 | STEPPER_i-Line | ULTRATECH | Saturn-SS3 | 2001 | 面议 | PHOTOLITHO | 国外 | |||
1584 | EVAPORATOR_E GUN | ULVAC | ei-7L | - | 面议 | PVD | 国外 | |||
1583 | WAFER EDGE EXPOSURE | USHIO | PE-250R2HK | - | 面议 | PHOTOLITHO | 国外 | |||
1582 | WAFER EDGE EXPOSURE | USHIO | PE-250T2HM | - | 面议 | PHOTOLITHO | 国外 | |||
1581 | UV CURING SYSTEM | USHIO | UMA-1002-HC933HD | 1998 | 面议 | PHOTOLITHO | 国外 | |||
1580 | LDI设备 3波长(wavelength)/6HEAD | SCREEN | - | 2019 | 面议 | Photo Solder | 国外 | |||
1579 | LDI设备 405波长 (wavelength) | ADTECH | - | 2016 | 面议 | Resist | 国外 | |||
1578 | LDI设备 3波长(wavelength)/5HEAD | SCREEN | - | 2018 | 面议 | DF | 国外 | |||
1577 | LDI设备 多波长(multi wavelength) | overtech | - | 2014 | 面议 | PSR/DF | 国外 | |||
1576 | 真空层圧设备 | LEETEK | - | 2014 | 面议 | DF | 国外 | |||
1575 | 自动露光机/平行光 | Hakuto | - | 2009 | 面议 | DF | 国外 | |||
1574 | 自动露光机/平行光 | Hakuto | - | 2007 | 面议 | DF | 国外 | |||
1573 | 自动露光机/平行光 | ORC | - | 2004 | 面议 | DF | 国外 | |||
1572 | DF层圧设备 | Hitachi日立 | - | - | 面议 | PSR/DF | 国外 | |||
1571 | PSR全自动印刷机 | SERIA | - | 2005 | 面议 | DF | 国外 | |||
1570 | PSR悬挂干燥机 | BMI | - | 2005 | 面议 | PSR | 国外 | |||
1569 | PSR半自动4轴机 | SERIA | - | 2016 | 面议 | PSR | 国外 | |||
1568 | PSR半自动4轴机 | SDK' | - | 2018 | 面议 | PSR MARKING | 国外 | |||
1567 | PSR半自动4轴机 | SDK' | - | 2017 | 面议 | PSR MARKING | 国外 | |||
1566 | PSR半自动4轴机 | minongmt | - | 2010 | 面议 | PSR MARKING | 国外 | |||
1565 | PSR隧道式预干燥机 | SMUV | - | 2020 | 面议 | PSR MARKING | 国外 | |||
1564 | PSR预处理喷射线 | SIE | - | 2007 | 面议 | PSR | 国外 | |||
1563 | PSR现像机 | Taesung | - | 2009 | 面议 | PSR | 国外 | |||
1562 | PSR最终干燥机 | Taeyang | - | 1999 | 面议 | PSR | 国外 | |||
1561 | PSR UV干燥机 | SMUV | - | 2020 | 面议 | PSR | 国外 | |||
1560 | 箱式干燥机 | SMUV | - | 2020 | 面议 | PSR | 国外 | |||
1559 | 自动搬送Conveyor | Hansong | - | 2018 | 面议 | PSR | 国外 | |||
1558 | DES(Development Etching Strip )Line | - | - | - | 面议 | AUTOMATION | 国外 | |||
1557 | 2 Chamber)/Flexible or Rigid 対応 | Camellia | - | 2014 | 面议 | PATTEN | 国外 | |||
1556 | DES(Development Etching Strip )Line | - | - | - | 面议 | PATTEN | 国外 | |||
1555 | 2 Chamber)/Rigid 対応 | Camellia | - | 2007 | 面议 | PATTEN | 国外 | |||
1554 | DES(Development Etching Strip )Line | - | - | - | 面议 | PATTEN | 国外 | |||
1553 | 4 Chamber)/Flexible or Rigid 対応 | NTP | - | 2011 | 面议 | PATTEN | 国外 | |||
1552 | DES(Development Etching Strip )Line | - | - | - | 面议 | PATTEN | 国外 | |||
1551 | 4 Chamber)/Rigid 対応 | SMC | - | 2007 | 面议 | LAYER | 国外 | |||
1550 | Half Etching(电镀后) | Taesung | - | 2011 | 面议 | LAYER | 国外 | |||
1549 | 氧化物(Sheet RTR兼用) | DUC | - | 2017 | 面议 | LAYER | 国外 | |||
1548 | 外形加工(切断) | Daliang | - | 2013 | 面议 | LAYER | 国外 | |||
1547 | 2 轴 X-RAY Drill Machine | Hansong | - | 2013 | 面议 | LAYER | 国外 | |||
1546 | 2 轴 X-RAY Drill Machine | Hansong | - | 2012 | 面议 | LAYER | 国外 | |||
1545 | SUS 前处理装置 | Taesung | - | 2012 | 面议 | LAYER | 国外 | |||
1544 | 自动真空成形装置(6段) | Fusei | - | 1999 | 面议 | LAYER | 国外 | |||
1543 | 自动真空成形装置(6段) | Fusei | - | 2006 | 面议 | LAYER | 国外 | |||
1542 | 冷压液压机(6段) | Fusei | - | 1999 | 面议 | COATING | 国外 | |||
1541 | 圧力机 | Fusei | - | 1999 | 面议 | COATING | 国外 | |||
1540 | Brown Oxide | Keystone | - | 2011 | 面议 | COATING | 国外 | |||
1539 | 2次Lay up设备 | ESSENTEK | - | 2005 | 面议 | COATING | 国外 | |||
1538 | VF电镀装置/15,000张 | TKC | - | 2009 | 面议 | COATING | 国外 | |||
1537 | VF电镀装置/15,000张 | TKC | - | 2011 | 面议 | COATING | 国外 | |||
1536 | 4轴前处理机 | Taesung | - | 2009 | 面议 | COATING | 国外 | |||
1535 | 2轴去毛刺设备 | Taesung | - | 2012 | 面议 | HARF MACHINE | 国外 | |||
1534 | 水平去污设备 | Keystone | - | - | 面议 | HARF MACHINE | 国外 | |||
1533 | Black Hole Machine | Keystone | - | - | 面议 | SPS | 国外 | |||
1532 | Shield Press Machine | DINGA | - | 2012 | 面议 | RELIABILITY | 国外 | |||
1531 | Shield Press Machine | VISION KOREA | - | 2016 | 面议 | RELIABILITY | 国外 | |||
1530 | PCB BONDING Machine | Hansong | - | 2003 | 面议 | RELIABILITY | 国外 | |||
1529 | 3次元测定器 | MicroVu | - | 2018 | 面议 | - | 国外 | |||
1528 | 2010/2009 | - | - | - | 面议 | - | 国外 | |||
1527 | 3次元测定器 | MicroVu | - | 2005 | 面议 | - | 国外 | |||
1526 | 电镀测厚仪 | SEIKO | - | 2012 | 面议 | - | 国外 | |||
1525 | Plasma Therm Versaline ICP-RIE #2 PSS IC | Plasma | Therm Versaline ICP-RIE #2 PSS | - | 面议 | As-is | 国外 | |||
1524 | AMSL XT760F KrF Scanner | AMSL | XT760F KrF Scanner | - | 面议 | As-is | 国外 | |||
1523 | Ebara EPO222 CMP | Ebara | EPO222 CMP | - | 面议 | As-is | 国外 | |||
1522 | Oxford Plasmalab System 100 RIE+PECVD | Oxford | Plasmalab System 100 RIE+PECVD | - | 面议 | As-is | 国外 | |||
1521 | DNS SU3200 | DNS | SU3200 | - | 面议 | As-is | 国外 | |||
1520 | LAM Rainbow 4420 | LAM | Rainbow 4420 | - | 面议 | 6" As-is | 国外 | |||
1519 | KLA 2552 Remote Review Station | KLA | 2552 Remote Review Station | - | 面议 | As-is | 国外 | |||
1518 | USHIO UX-4440 Aligner | USHIO | UX-4440 Aligner | - | 面议 | As-is | 国外 | |||
1517 | CHA Revolution | CHA | Revolution | - | 面议 | As-is | 国外 | |||
1516 | ULVAC ENTRON-EX W300 Multi-ch(8ch)Sputte | ULVAC | ENTRON-EX W300 Multi-ch(8ch)Sp | - | 面议 | As-is | 国外 | |||
1515 | ULVAC Ulvac ei-7K | ULVAC | Ulvac ei-7K | - | 面议 | As-is | 国外 | |||
1514 | ULVAC Ulvac ei-5K | ULVAC | Ulvac ei-5K | - | 面议 | As-is | 国外 | |||
1513 | ULVAC Chamber(5CH) | ULVAC | Chamber(5CH) | - | 面议 | 12" As-is | 国外 | |||
1512 | Ebara F-REX300S CMP | Ebara | F-REX300S CMP | - | 面议 | 12" FULL REPUB | 国外 | |||
1511 | Maxis 300LA ICP | Maxis | 300LA ICP | - | 面议 | 12" As-is | 国外 | |||
1510 | Nippon Sanso | Nippon | Sanso | - | 面议 | 6" As-is | 国外 | |||
1509 | Aixtron Crius II | Aixtron | Crius II | - | 面议 | As-is | 国外 | |||
1508 | DISCO DFD641 saw | DISCO | DFD641 saw | - | 面议 | 8"Working | 国外 | |||
1507 | Oxford Plasma Pro NGP1000 | Oxford | Plasma Pro NGP1000 | - | 面议 | 12" As-is | 国外 | |||
1506 | AMAT Centura DPS+ Poly Etch | AMAT | Centura DPS+ Poly Etch | - | 面议 | As-is | 国外 | |||
1505 | AMAT Producer-GT CVD | AMAT | Producer-GT CVD | - | 面议 | As-is | 国外 | |||
1504 | AMAT mirra MESA CMP | AMAT | mirra MESA CMP | - | 面议 | FULL REPUB | 国外 | |||
1503 | AMAT P5000 | AMAT | P5000 | - | 面议 | 8" REFURB | 国外 | |||
1502 | AMAT P5000 | AMAT | P5000 | - | 面议 | FULL REPUB | 国外 | |||
1501 | Hitachi HL7800M | Hitachi | HL7800M | - | 面议 | As-is | 国外 | |||
1500 | Hitachi HL8000M | Hitachi | HL8000M | - | 面议 | As-is | 国外 | |||
1499 | Hitachi FESEM S4800 | Hitachi | FESEM S4800 | - | 面议 | working | 国外 | |||
1498 | Hitachi FESEM S4700 II | Hitachi | FESEM S4700 II | - | 面议 | FULL REPUB | 国外 | |||
1497 | Hitachi FESEM S4700 II | Hitachi | FESEM S4700 II | - | 面议 | Working | 国外 | |||
1496 | Hitachi S-9260A CDSEM | Hitachi | S-9260A CDSEM | - | 面议 | 8" FULL REPUB | 国外 | |||
1495 | TEL P-12XL Probe | TEL | P-12XL Probe | - | 面议 | 12" As-is | 国外 | |||
1494 | TEL Mark Vz 2C2D | TEL | Mark Vz 2C2D | - | 面议 | As-is | 国外 | |||
1493 | TEL ACT8 2C4D, Double Block | TEL | ACT8 2C4D, Double Block | - | 面议 | working | 国外 | |||
1492 | TEL Mark8 track (2c1d) | TEL | Mark8 track (2c1d) | - | 面议 | working | 国外 | |||
1491 | TEL Mark 7 Single Block | TEL | Mark 7 Single Block | - | 面议 | 200 As-is | 国外 | |||
1490 | TEL Mark 8 Single Block | TEL | Mark 8 Single Block | - | 面议 | 200 As-is | 国外 | |||
1489 | TEL ACT12 Single Block | TEL | ACT12 Single Block | - | 面议 | 300 As-is | 国外 | |||
1488 | TEL ACT8 Single Block | TEL | ACT8 Single Block | - | 面议 | 200 As-is | 国外 | |||
1487 | CANON FPA3000i4 | CANON | FPA3000i4 | - | 面议 | Working | 国外 | |||
1486 | NIKON NSR S205C KrF Stepper | NIKON | NSR S205C KrF Stepper | - | 面议 | As-is | 国外 | |||
1485 | NIKON NSR-SF200 | NIKON | NSR-SF200 | 2003 | 面议 | Working | 国外 | |||
1484 | NIKON NSR-207D | NIKON | NSR-207D | - | 面议 | working | 国外 | |||
1483 | NIKON NSR 2205 I12D | NIKON | NSR 2205 I12D | - | 面议 | Initial Conditi | 国外 | |||
1482 | NIKON NSR-2005 i9c | NIKON | NSR-2005 i9c | - | 面议 | Working | 国外 | |||
1481 | NIKON 2205 EX12B | NIKON | 2205 EX12B | - | 面议 | As-is | 国外 | |||
1480 | NIKON NSR 1505 G7E | NIKON | NSR 1505 G7E | - | 面议 | 6" Working | 国外 | |||
1479 | Stepper | NIKON | NSR 2205 I12D | - | 面议 | 6"reticle(SMIF) | 国外 | |||
1478 | Stepper | CANON | NSR-2005 i9c | - | 面议 | R), Fab out (Jun. 2019)">Uniformity(2.35 | 国外 | |||
1477 | Scanner | NIKON | 2205 EX12B | - | 面议 | Available Dec, | 国外 | |||
1476 | Scanner | NIKON | NSR 1505 G7E | - | 面议 | w/cymer laser, | 国外 | |||
1475 | ATE | Advantest | T5375 | - | 面议 | single head wit | 国外 | |||
1474 | Stepper | SUSSMicroTec | MA200 | 1989 | 面议 | 8" Holder , 2x | 国外 | |||
1473 | Etch | AMAT应用材料 | Centura DPS2 Metal | 2005 | 面议 | EFEM(NT, Yaskaw | 国外 | |||
1472 | Etch | AMAT应用材料 | Centura Axiom Chamber | 2006 | 面议 | Axiom Only (w/V | 国外 | |||
1471 | RTP | Mattson | Helios | 2009 | 面议 | 3x TDK TAS300 L | 国外 | |||
1470 | RTP | Mattson | Helios | 2004 | 面议 | 1. Chamber Qty. | 国外 | |||
1469 | RTP | Mattson | Helios | 2004 | 面议 | 3x TDK TAS300 L | 国外 | |||
1468 | RTP | Mattson | Helios | 2005 | 面议 | 3x TDK TAS300 L | 国外 | |||
1467 | ATE | Agilent | 4073B | 2005 | 面议 | 48Pin / SMU:HRS | 国外 | |||
1466 | ATE | Agilent | 4073A | 2001 | 面议 | 32pin(of 32pins | 国外 | |||
1465 | ATE | Agilent | 4073B | 2011 | 面议 | 48pin, SMU7[MPS | 国外 | |||
1464 | CVD | AMAT应用材料 | P5000 | 1988 | 面议 | Mark1, 3x DLH | 国外 | |||
1463 | Track | TEL | LITHIUS Pro-i | 2007 | 面议 | 9COT 3DEV with | 国外 | |||
1462 | Track | TEL | LITHIUS | 2007 | 面议 | 5C5D, Inlined t | 国外 | |||
1461 | CMP | AMAT应用材料 | Reflexion FA | 2005 | 面议 | - | 国外 | |||
1460 | PKG | SUSSMicroTec | CBC200 | 2013 | 面议 | Cluster Frame, | 国外 | |||
1459 | ATE | Teradyne | Magnum 2x GVLC | - | 面议 | Frame Only. No | 国外 | |||
1458 | ATE | Teradyne | MAGNUM2X SSV | - | 面议 | [Missing indica | 国外 | |||
1457 | PKG | SUSSMicroTec | CB200M | 2012 | 面议 | Process chamber | 国外 | |||
1456 | RTP | AMAT应用材料 | Vantage 5 | 2012 | 面议 | - | 国外 | |||
1455 | Metrology | KLA | Viper 2435 | 2006 | 面议 | - | 国外 | |||
1454 | Metrology | KLA | Viper 2438 | 2008 | 面议 | - | 国外 | |||
1453 | ATE | Teradyne | IP750 | 2000 | 面议 | 512ch head(1), | 国外 | |||
1452 | ATE | Teradyne | IP750 | 2000 | 面议 | 512ch head(1), | 国外 | |||
1451 | ATE | Teradyne | IP750 | 2000 | 面议 | 512ch head(1), | 国外 | |||
1450 | ATE | Teradyne | IP750EP | 2002 | 面议 | 512ch head(1), | 国外 | |||
1449 | ATE | Teradyne | IP750 | 2000 | 面议 | Power condition | 国外 | |||
1448 | ATE | Teradyne | UltraFLEX | 2011 | 面议 | Z800(W/S)36 slo | 国外 | |||
1447 | ATE | Teradyne | J750EX | 2015 | 面议 | 1024ch size Lar | 国外 | |||
1446 | ATE | Teradyne | IP750EX | 2001 | 面议 | HEAD(Modified f | 国外 | |||
1445 | ATE | Teradyne | J750 | 2004 | 面议 | Main, Head, W/S | 国外 | |||
1444 | SMT | Famecs | FMBL-200AND-SHE | 2013 | 面议 | - | 国外 | |||
1443 | ATE | Teradyne | IP750 | 2000 | 面议 | 512ch head(1), | 国外 | |||
1442 | ATE | Teradyne | IP750S | - | 面议 | 512ch head(1), | 国外 | |||
1441 | PKG | Musashi | AWATRON2 AW-MV310 | 2013 | 面议 | Vacuum Pump : 2 | 国外 | |||
1440 | Component | NIKON | N-SIS 5 | - | 面议 | - | 国外 | |||
1439 | Component | NIKON | N-SIS 5 | - | 面议 | - | 国外 | |||
1438 | Component | NIKON | N-SIS 5 | - | 面议 | - | 国外 | |||
1437 | Component | NIKON | N-SIS 5 | - | 面议 | - | 国外 | |||
1436 | Component | NIKON | N-SIS 5 | - | 面议 | - | 国外 | |||
1435 | CVD | TEL | Trias | 2004 | 面议 | 4 x CVD TiN, 3 | 国外 | |||
1434 | CVD | TEL | Trias | 2011 | 面议 | Trias E+, UV RF | 国外 | |||
1433 | CVD | TEL | Trias | 2006 | 面议 | Ti Ch x2, TiN C | 国外 | |||
1432 | CVD | TEL | Trias | 2012 | 面议 | 3CH | 国外 | |||
1431 | CVD | TEL | Trias | 2013 | 面议 | EXII ALD TiN 1C | 国外 | |||
1430 | CVD | TEL | Trias SPA | 2010 | 面议 | LM+TM+AC Rack, | 国外 | |||
1429 | ATE | Accretech | UF3000 | 2007 | 面议 | Right Single Lo | 国外 | |||
1428 | Track | Axcelis | RapidCure 320FC | 2007 | 面议 | - | 国外 | |||
1427 | PKG | Nitto | HR8500II | 2002 | 面议 | 5,6,8" Universa | 国外 | |||
1426 | Etch | AMAT应用材料 | Centura DPS2 Metal | 2005 | 面议 | EFEM(Server, Ya | 国外 | |||
1425 | CVD | AMAT应用材料 | Producer GT | 2011 | 面议 | 3 Twin(HARP USG | 国外 | |||
1424 | CVD | AMAT应用材料 | Producer GT Chamber | 2010 | 面议 | 1 Twin CH(ACL) | 国外 | |||
1423 | Metrology | KLA | FX200 | 2006 | 面议 | [Power-on] 2por | 国外 | |||
1422 | ATE | Micromanipulator | 9000-VIT | 2005 | 面议 | - | 国外 | |||
1421 | Etch | TEL | TSP 305 SCCM TE | 2007 | 面议 | 3x TE configure | 国外 | |||
1420 | Component | Blue M | DCC-206-EV-ST350 | 1999 | 面议 | - | 国外 | |||
1419 | Component | EBARA | EST 300 | - | 面议 | - | 国外 | |||
1418 | Component | EBARA | EST200WN | - | 面议 | - | 国外 | |||
1417 | Metrology | VLSI Standard | PDS-100 | 2000 | 面议 | Particle Counte | 国外 | |||
1416 | ATE | Advantest | 83000 | 2000 | 面议 | - | 国外 | |||
龙玺精密-半导体工艺设备+国外二手设备 龙先生18868521984(微) |
注:设备状态不定期更新,是否已售出请咨询。 |