二手半导体设备交易平台semi1688.com
首页 CANON NIKON DISCO ASML AMAT TEL LAM KLA SEMICS HITACHI YOKOGAWA
图 片 设备名称 制造商 型号 年份 详细配置 状 态
NIKON NSR 1505G6E步进光刻机 NIKON NSR 1505G6E步进光刻机 NIKON NSR-1505G6E 1989 - 国内
NIKON NSR 1505G6E步进光刻机 NIKON NSR 1505G6E步进光刻机 NIKON NSR-1505G6E 1989 - 国内
TEL TE-8400P刻蚀机 TEL TE-8400P刻蚀机 TEL TE-8400P 1996 - 国内
TEL TE-8500 PEATC刻蚀机 TEL TE-8500 PEATC刻蚀机 TEL TE-8500 PEATC 1993 - 国内
TEL TE-8500 PE刻蚀机 TEL TE-8500 PE刻蚀机 TEL TE-8500 PE 1993 - 国内
TEL TE-8400P刻蚀机 TEL TE-8400P刻蚀机 TEL TE-8400P 1995 - 国内
TEL TE-8400P刻蚀机 TEL TE-8400P刻蚀机 TEL TE-8400P 1995 - 国内
PRECISION 5000刻蚀机 PRECISION 5000刻蚀机 PRECISION 5000 PRECISION 5000 1989 - 国内
TEL TE-5000 ATC刻蚀机 TEL TE-5000 ATC刻蚀机 TEL TE-5000 ATC 1991 - 国内
TEL IW-6D立式扩散炉 TEL IW-6D立式扩散炉 TEL IW-6D 2005 - 国内
TEL IW-6D立式扩散炉 TEL IW-6D立式扩散炉 TEL IW-6D 2005 - 国内
TEL IW-6D立式扩散炉 TEL IW-6D立式扩散炉 TEL IW-6D 2005 - 国内
TEL IW-6D立式扩散炉 TEL IW-6D立式扩散炉 TEL IW-6D 2005 - 国内
ALPHA602C化学气相淀积炉 ALPHA602C化学气相淀积炉 ALPHA602C ALPHA602C 1991 - 国内
IW-6化学气相淀积炉 IW-6化学气相淀积炉 IW-6 IW-6 1996 - 国内
ANELVA ILC-1013溅射台 ANELVA ILC-1013溅射台 ANELVA ILC-1013 - - 国内
CONCEPT ONE等离子化学气相淀积炉 CONCEPT ONE等离子化学气相淀积炉 CONCEPT ONE CONCEPT ONE 2005 - 国内
DC-2500FH-1清洗机 DC-2500FH-1清洗机 DC-2500FH-1 DC-2500FH-1 1985 - 国内
VDF ALPHA 602D竖式扩散炉 VDF ALPHA 602D竖式扩散炉 VDF ALPHA 602D VDF ALPHA 602D 1991 - 国内
ALPHA602C竖式扩散炉 ALPHA602C竖式扩散炉 ALPHA602C ALPHA602C 1991 - 国内
ALPHA602D竖式扩散炉 ALPHA602D竖式扩散炉 ALPHA602D ALPHA602D 1991 - 国内
VCF ALPHA 602C竖式扩散炉 VCF ALPHA 602C竖式扩散炉 VCF ALPHA 602C VCF ALPHA 602C 1991 - 国内
VDF ALPHA 602D化学气相淀积炉 VDF ALPHA 602D化学气相淀积炉 VDF ALPHA 602D VDF ALPHA 602D 1991 - 国内
ALPHA 602C化学气相淀积炉 ALPHA 602C化学气相淀积炉 ALPHA 602C ALPHA 602C 1992 - 国内
XL-8-473扩散炉 XL-8-473扩散炉 XL-8-473 XL-8-473 1984 - 国内
XL-8-473扩散炉 XL-8-473扩散炉 XL-8-473 XL-8-473 1984 - 国内
DL-8-473扩散炉 DL-8-473扩散炉 DL-8-473 DL-8-473 1984 - 国内
XL-8-473扩散炉 XL-8-473扩散炉 XL-8-473 XL-8-473 1981 - 国内
DES-106EH去胶机 DES-106EH去胶机 DES-106EH DES-106EH 1982 - 国内
DC-7000外延炉 DC-7000外延炉 DC-7000 DC-7000 1983 - 国内
ALPHA6000芯片分拣机 ALPHA6000芯片分拣机 ALPHA6000 ALPHA6000 2013 - 国内
VHC-P610CP退火炉 VHC-P610CP退火炉 VHC-P610CP VHC-P610CP 2007 - 国内
特型退火炉 特型退火炉 特型 特型 2000 - 国内
KOKUSAI DJ-802V-D立式扩散炉 KOKUSAI DJ-802V-D立式扩散炉 KOKUSAI DJ-802V-D 1991 - 国内
KOKUSAI DJ-802V-D立式扩散炉 KOKUSAI DJ-802V-D立式扩散炉 KOKUSAI DJ-802V-D 1991 - 国内
KOKUSAI DJ-802V-D立式扩散炉 KOKUSAI DJ-802V-D立式扩散炉 KOKUSAI DJ-802V-D 1991 - 国内
OPM-A1200去胶机 OPM-A1200去胶机 OPM-A1200 OPM-A1200 1984 - 国内
PRECISION-5000刻蚀机 PRECISION-5000刻蚀机 PRECISION-5000 PRECISION-5000 1991 - 国内
PEL-E516刻蚀机 PEL-E516刻蚀机 PEL-E516 PEL-E516 1991 - 国内
MUC21-004刻蚀机 MUC21-004刻蚀机 MUC21-004 MUC21-004 2001 - 国内
MULTIPLEX ICP刻蚀机 MULTIPLEX ICP刻蚀机 MULTIPLEX ICP MULTIPLEX ICP 1999 - 国内
MULTIPLEX ICP刻蚀机 MULTIPLEX ICP刻蚀机 MULTIPLEX ICP MULTIPLEX ICP 1999 - 国内
RC8 MS3匀胶机 RC8 MS3匀胶机 RC8 MS3 RC8 MS3 1998 - 国内
T D6132UM显影机 T D6132UM显影机 T D6132UM T D6132UM 1985 - 国内
DNK MA-1200光刻机 DNK MA-1200光刻机 DNK MA-1200 2003 - 国内
ECLIPSE溅射台 ECLIPSE溅射台 ECLIPSE ECLIPSE 2002 - 国内
SH-550-C10溅射台 SH-550-C10溅射台 SH-550-C10 SH-550-C10 2008 - 国内
SPL-500溅射台 SPL-500溅射台 SPL-500 SPL-500 1992 - 国内
DD-9200GH扩散炉 DD-9200GH扩散炉 DD-9200GH DD-9200GH 1985 - 国内
SL473D2打标机 SL473D2打标机 SL473D2 SL473D2 1991 - 国内
DSMI2B-6L抛光机 DSMI2B-6L抛光机 DSMI2B-6L DSMI2B-6L 1989 - 国内
USHIO UMA-802-HC552MHL固胶机 USHIO UMA-802-HC552MHL固胶机 USHIO UMA-802-HC552MHL 2006 - 国内
A-WD-10A/C划片机 A-WD-10A/C划片机 A-WD-10A/C A-WD-10A/C 2000 - 国内
MESC MULTIPLEX ICP刻蚀机 MESC MULTIPLEX ICP刻蚀机 MESC MULTIPLEX ICP MESC MULTIPLEX ICP 1999 - 国内
MESC MULTIPLEX ICP刻蚀机 MESC MULTIPLEX ICP刻蚀机 MESC MULTIPLEX ICP MESC MULTIPLEX ICP 1999 - 国内
NE-7710刻蚀机 NE-7710刻蚀机 NE-7710 NE-7710 2003 - 国内
DJC-150B-M清洗机 DJC-150B-M清洗机 DJC-150B-M DJC-150B-M 2000 - 国内
SPF-530H溅射台 SPF-530H溅射台 SPF-530H SPF-530H 1989 - 国内
特型溅射台 特型溅射台 特型 特型 2002 - 国内
MHG-2000减薄机 MHG-2000减薄机 MHG-2000 MHG-2000 2000 - 国内
SW-08减薄机 SW-08减薄机 SW-08 SW-08 1993 - 国内
LDS-9100检测仪 LDS-9100检测仪 LDS-9100 LDS-9100 1995 - 国内
LDS-9500检测仪 LDS-9500检测仪 LDS-9500 LDS-9500 1993 - 国内
LDS-9100检测仪 LDS-9100检测仪 LDS-9100 LDS-9100 1992 - 国内
10000186光刻机 10000186光刻机 10000186 10000186 1999 - 国内
UTF6扩散路 UTF6扩散路 UTF6 UTF6 2000 - 国内
KOKUSAI DD-802VH立式扩散炉 KOKUSAI DD-802VH立式扩散炉 KOKUSAI DD-802VH 1989 - 国内
KOKUSAI DD-802V-H立式扩散炉 KOKUSAI DD-802V-H立式扩散炉 KOKUSAI DD-802V-H 1991 - 国内
KOKUSAI DJ-802V-H立式扩散炉 KOKUSAI DJ-802V-H立式扩散炉 KOKUSAI DJ-802V-H 1993 - 国内
KOKUSAI DJ-802V-H立式扩散炉 KOKUSAI DJ-802V-H立式扩散炉 KOKUSAI DJ-802V-H 1991 - 国内
VEECO UNITY AP200步进光刻机 VEECO UNITY AP200步进光刻机 VEECO UNITY AP200 2012 - 国内
VF-1000扩散炉 VF-1000扩散炉 VF-1000 VF-1000 1999 - 国内
UX-4003DC-ABD01光刻机 UX-4003DC-ABD01光刻机 UX-4003DC-ABD01 UX-4003DC-ABD01 2000 - 国内
P-11测试仪 P-11测试仪 P-11 P-11 1999 - 国内
THS-20 004测试仪 THS-20 004测试仪 THS-20 004 THS-20 004 1999 - 国内
CPS500贴片机 CPS500贴片机 CPS500 CPS500 1995 - 国内
MT6581贴片机 MT6581贴片机 MT6581 MT6581 1999 - 国内
CPS400贴片机 CPS400贴片机 CPS400 CPS400 1997 - 国内
CPS400贴片机 CPS400贴片机 CPS400 CPS400 1997 - 国内
DBD-3310贴片机 DBD-3310贴片机 DBD-3310 DBD-3310 2000 - 国内
CPS-550W贴片机 CPS-550W贴片机 CPS-550W CPS-550W 1999 - 国内
CPS-550W贴片机 CPS-550W贴片机 CPS-550W CPS-550W 1999 - 国内
CPS-400贴片机 CPS-400贴片机 CPS-400 CPS-400 1996 - 国内
CPS-550WR贴片机 CPS-550WR贴片机 CPS-550WR CPS-550WR 2001 - 国内
LA-W815-A退火炉 LA-W815-A退火炉 LA-W815-A LA-W815-A 1993 - 国内
SD313M甩干机 SD313M甩干机 SD313M SD313M 1989 - 国内
SD313M2甩干机 SD313M2甩干机 SD313M2 SD313M2 1989 - 国内
UX-3300SC-MB01光刻机 UX-3300SC-MB01光刻机 UX-3300SC-MB01 UX-3300SC-MB01 2014 - 国内
HT2000F外延炉 HT2000F外延炉 HT2000F HT2000F 2009 - 国内
CANON MPA-600Super光刻机 CANON MPA-600Super光刻机 CANON MPA-600Super 1995 - 国内
CANON MPA-600Super光刻机 CANON MPA-600Super光刻机 CANON MPA-600Super 1995 - 国内
RAM-250去胶机 RAM-250去胶机 RAM-250 RAM-250 2001 - 国内
RAM-250去胶机 RAM-250去胶机 RAM-250 RAM-250 1991 - 国内
RAM-200去胶机 RAM-200去胶机 RAM-200 RAM-200 2001 - 国内
UX-3000SC-ACR01光刻机 UX-3000SC-ACR01光刻机 UX-3000SC-ACR01 UX-3000SC-ACR01 1997 - 国内
VMR-3020显微镜 VMR-3020显微镜 VMR-3020 VMR-3020 2004 - 国内
KOKUSAI DJ-802V-B立式扩散炉 KOKUSAI DJ-802V-B立式扩散炉 KOKUSAI DJ-802V-B 1994 LPCVD 国内
EXCEED 2300AH离子注入机 EXCEED 2300AH离子注入机 EXCEED 2300AH 2004 - 国内
EXCEED 2300AH离子注入机 EXCEED 2300AH离子注入机 EXCEED 2300AH 2004 - 国内
CLEAN TRACK匀胶显影机-12寸 CLEAN TRACK匀胶显影机-12寸 CLEAN TRACK CLEAN TRACK 1994 - 国内
CLEAN TRACK匀胶显影机 CLEAN TRACK匀胶显影机 CLEAN TRACK CLEAN TRACK 1994 - 国内
ECO1000检测仪 ECO1000检测仪 ECO1000 ECO1000 2004 - 国内
CDS-630R匀胶显影机-4寸 CDS-630R匀胶显影机-4寸 CDS-630R CDS-630R 1999 - 国内
LP-101烤箱 LP-101烤箱 LP-101 LP-101 1999 - 国内
LP-101烤箱 LP-101烤箱 LP-101 LP-101 1999 - 国内
E620R刻蚀机 E620R刻蚀机 E620R E620R 2010 - 国内
E620R刻蚀机 E620R刻蚀机 E620R E620R 2010 - 国内
APX300刻蚀机 APX300刻蚀机 APX300 APX300 2013 - 国内
E640刻蚀机 E640刻蚀机 E640 E640 1997 - 国内
E640刻蚀机 E640刻蚀机 E640 E640 1999 - 国内
TEL TCE-4802刻蚀机 TEL TCE-4802刻蚀机 TEL TCE-4802 2000 - 国内
TEL TCE-4802刻蚀机 TEL TCE-4802刻蚀机 TEL TCE-4802 2000 - 国内
A-PM-60B探针台 A-PM-60B探针台 A-PM-60B A-PM-60B 2000 - 国内
UF60探针台 UF60探针台 UF60 UF60 2006 - 国内
UF60探针台 UF60探针台 UF60 UF60 2010 - 国内
APX300刻蚀机 APX300刻蚀机 APX300 APX300 2015 - 国内
NT1200W烤箱 NT1200W烤箱 NT1200W NT1200W 2001 - 国内
FX212P烤箱 FX212P烤箱 FX212P FX212P 1998 - 国内
TSA-71S-W烤箱 TSA-71S-W烤箱 TSA-71S-W TSA-71S-W 2005 - 国内
T-15成形机 T-15成形机 T-15 T-15 2001 - 国内
Ba-250C烤箱 Ba-250C烤箱 Ba-250C Ba-250C 1999 - 国内
LP-201烤箱 LP-201烤箱 LP-201 LP-201 1999 - 国内
CDS-630R匀胶显影机 CDS-630R匀胶显影机 CDS-630R CDS-630R 1999 - 国内
WIDS-01清洗机 WIDS-01清洗机 WIDS-01 WIDS-01 1997 - 国内
WIDS-02清洗机 WIDS-02清洗机 WIDS-02 WIDS-02 1997 - 国内
SC-W629-BV匀胶显影机 SC-W629-BV匀胶显影机 SC-W629-BV SC-W629-BV 2010 - 国内
A-PM-60B探针台 A-PM-60B探针台 A-PM-60B A-PM-60B 2000 - 国内
A-PM-60B探针台 A-PM-60B探针台 A-PM-60B A-PM-60B 1999 - 国内
A-PM-60B探针台 A-PM-60B探针台 A-PM-60B A-PM-60B 1999 - 国内
A-PM-60B探针台 A-PM-60B探针台 A-PM-60B A-PM-60B 1999 - 国内
UF60探针台 UF60探针台 UF60 UF60 2006 - 国内
UF60探针台 UF60探针台 UF60 UF60 2010 - 国内
UF60探针台 UF60探针台 UF60 UF60 2010 - 国内
UF60探针台 UF60探针台 UF60 UF60 2010 - 国内
HD-V9900WA打标机 HD-V9900WA打标机 HD-V9900WA HD-V9900WA 2000 - 国内
PC-101A去胶机 PC-101A去胶机 PC-101A PC-101A 1984 - 国内
PR510去胶机 PR510去胶机 PR510 PR510 1985 - 国内
11 761 527测试仪 11 761 527测试仪 11 761 527 11 761 527 2007 - 国内
PHH-201烤箱 PHH-201烤箱 PHH-201 PHH-201 1994 - 国内
PHH-201烤箱 PHH-201烤箱 PHH-201 PHH-201 1994 - 国内
PH-200烤箱 PH-200烤箱 PH-200 PH-200 1987 - 国内
UV-300HC清洗机 UV-300HC清洗机 UV-300HC UV-300HC 2007 - 国内
CUP-PLATER电镀机 CUP-PLATER电镀机 CUP-PLATER CUP-PLATER 2010 - 国内
D-50显影机 D-50显影机 D-50 D-50 2004 - 国内
DB-50-W显影机 DB-50-W显影机 DB-50-W DB-50-W 2006 - 国内
DB-50-W显影机 DB-50-W显影机 DB-50-W DB-50-W 2008 - 国内
DTU151水温控制装置 DTU151水温控制装置 DTU151 DTU151 2000 - 国内
VF-5100扩散炉 VF-5100扩散炉 VF-5100 VF-5100 2001 - 国内
VF-5100扩散炉 VF-5100扩散炉 VF-5100 VF-5100 2001 - 国内
VF-5100扩散炉 VF-5100扩散炉 VF-5100 VF-5100 2001 - 国内
WS-620C清洗机 WS-620C清洗机 WS-620C WS-620C 2004 - 国内
IW-630S离子注入机 IW-630S离子注入机 IW-630S IW-630S 2001 - 国内
E620刻蚀机 E620刻蚀机 E620 E620 1997 - 国内
E6501刻蚀机 E6501刻蚀机 E6501 E6501 2013 - 国内
E620R刻蚀机 E620R刻蚀机 E620R E620R 2015 - 国内
E630刻蚀机 E630刻蚀机 E630 E630 1998 - 国内
E640刻蚀机 E640刻蚀机 E640 E640 1999 - 国内
E640刻蚀机 E640刻蚀机 E640 E640 2000 - 国内
E640刻蚀机 E640刻蚀机 E640 E640 2000 - 国内
SAMCO RIE-10NR刻蚀机 SAMCO RIE-10NR刻蚀机 SAMCO RIE-10NR 1998 - 国内
SAMCO RIE-10NR刻蚀机 SAMCO RIE-10NR刻蚀机 SAMCO RIE-10NR 2000 - 国内
SAMCO RIE-10NR刻蚀机 SAMCO RIE-10NR刻蚀机 SAMCO RIE-10NR 2011 - 国内
OKVII-E41甩干机 OKVII-E41甩干机 OKVII-E41 OKVII-E41 2012 - 国内
OKVII-E41甩干机 OKVII-E41甩干机 OKVII-E41 OKVII-E41 2013 - 国内
OKVII-E41甩干机 OKVII-E41甩干机 OKVII-E41 OKVII-E41 2014 - 国内
PR510去胶机 PR510去胶机 PR510 PR510 1999 - 国内
PR510去胶机 PR510去胶机 PR510 PR510 1999 - 国内
PR510去胶机 PR510去胶机 PR510 PR510 1999 - 国内
PR500去胶机 PR500去胶机 PR500 PR500 1999 - 国内
PR510去胶机 PR510去胶机 PR510 PR510 1999 - 国内
PR510去胶机 PR510去胶机 PR510 PR510 1999 - 国内
PR510去胶机 PR510去胶机 PR510 PR510 1999 - 国内
MAS801去胶机 MAS801去胶机 MAS801 MAS801 1998 - 国内
PB-1000S去胶机 PB-1000S去胶机 PB-1000S PB-1000S 2007 - 国内
CDS-630R匀胶显影机 CDS-630R匀胶显影机 CDS-630R CDS-630R 1999 - 国内
PVC-212M烤箱 PVC-212M烤箱 PVC-212M PVC-212M 2013 - 国内
PVHC-231M烤箱 PVHC-231M烤箱 PVHC-231M PVHC-231M 2008 - 国内
DNE811烤箱 DNE811烤箱 DNE811 DNE811 2000 - 国内
Auto SE测试仪 Auto SE测试仪 Auto SE Auto SE 2011 - 国内
E620I刻蚀机 E620I刻蚀机 E620I E620I 2015 - 国内
E650I刻蚀机 E650I刻蚀机 E650I E650I 2016 - 国内
E620刻蚀机 E620刻蚀机 E620 E620 1996 - 国内
E620R刻蚀机 E620R刻蚀机 E620R E620R 2015 - 国内
SLRK-S122/S600(IDT)溅射台 SLRK-S122/S600(IDT)溅射台 SLRK-S122/S600(IDT) SLRK-S122/S600(IDT) - 国内
Manual Develop清洗机 Manual Develop清洗机 Manual Develop Manual Develop 1999 - 国内
Flux Cleaner清洗机 Flux Cleaner清洗机 Flux Cleaner Flux Cleaner 1999 - 国内
FA7SRT甩干机 FA7SRT甩干机 FA7SRT FA7SRT 1986 - 国内
FX-254-PK01光刻机 FX-254-PK01光刻机 FX-254-PK01 FX-254-PK01 2000 - 国内
CDS-630R匀胶显影机 CDS-630R匀胶显影机 CDS-630R CDS-630R - 国内
E650I刻蚀机 E650I刻蚀机 E650I E650I - 国内
SLRK-S122/S600(IDT)溅射台 SLRK-S122/S600(IDT)溅射台 SLRK-S122/S600(IDT) SLRK-S122/S600(IDT) - 国内
SLRK-S122/S600(IDT)溅射台 SLRK-S122/S600(IDT)溅射台 SLRK-S122/S600(IDT) SLRK-S122/S600(IDT) - 国内
VM-2210测试仪 VM-2210测试仪 VM-2210 VM-2210 - 国内
VM-2210测试仪 VM-2210测试仪 VM-2210 VM-2210 - 国内
VM-2210测试仪 VM-2210测试仪 VM-2210 VM-2210 - 国内
清洗机 清洗机 - - - 国内
UX-44101SC-ND01光刻机 UX-44101SC-ND01光刻机 UX-44101SC-ND01 UX-44101SC-ND01 - 国内
UX-44101SC-ND02光刻机 UX-44101SC-ND02光刻机 UX-44101SC-ND02 UX-44101SC-ND02 - 国内
VRD-8000显影机 VRD-8000显影机 VRD-8000 VRD-8000 - 国内
WI-2250检测仪 WI-2250检测仪 WI-2250 WI-2250 2009 - 国内
UHK-8C31M抛光机 UHK-8C31M抛光机 UHK-8C31M UHK-8C31M 2007 - 国内
19S探针台 19S探针台 19S 19S 1991 - 国内
19S探针台 19S探针台 19S 19S 1991 - 国内
19S探针台 19S探针台 19S 19S 1996 - 国内
19S探针台 19S探针台 19S 19S 1989 - 国内
19S探针台 19S探针台 19S 19S 1995 - 国内
REBO-7L粘片机 REBO-7L粘片机 REBO-7L REBO-7L 2011 - 国内
SUS-50粘片机 SUS-50粘片机 SUS-50 SUS-50 1995 - 国内
UTC-200BI粘片机 UTC-200BI粘片机 UTC-200BI UTC-200BI 1997 - 国内
UTC-400BI粘片机 UTC-400BI粘片机 UTC-400BI UTC-400BI 2003 - 国内
UTC-300BI粘片机 UTC-300BI粘片机 UTC-300BI UTC-300BI 2000 - 国内
SPA-20粘片机 SPA-20粘片机 SPA-20 SPA-20 1992 - 国内
YA-203烘箱 YA-203烘箱 YA-203 YA-203 1995 - 国内
RBC-M021烘箱 RBC-M021烘箱 RBC-M021 RBC-M021 1998 - 国内
YA-203烘箱 YA-203烘箱 YA-203 YA-203 1995 - 国内
HPS-222烘箱 HPS-222烘箱 HPS-222 HPS-222 1983 - 国内
KHT-101-3SS烘箱 KHT-101-3SS烘箱 KHT-101-3SS KHT-101-3SS 2010 - 国内
PL-3KP烘箱 PL-3KP烘箱 PL-3KP PL-3KP 2006 - 国内
PR-2KT烘箱 PR-2KT烘箱 PR-2KT PR-2KT 2003 - 国内
PR-3SPH烘箱 PR-3SPH烘箱 PR-3SPH PR-3SPH 1997 - 国内
LHX-R210-2烘箱 LHX-R210-2烘箱 LHX-R210-2 LHX-R210-2 1989 - 国内
PR-3KP烘箱 PR-3KP烘箱 PR-3KP PR-3KP 2010 - 国内
PH-4KT烘箱 PH-4KT烘箱 PH-4KT PH-4KT 2007 - 国内
YHT-F083烘箱 YHT-F083烘箱 YHT-F083 YHT-F083 1998 - 国内
TSB-5烘箱 TSB-5烘箱 TSB-5 TSB-5 1989 - 国内
RBM-F2-1001-22RL烘箱 RBM-F2-1001-22RL烘箱 RBM-F2-1001-22RL RBM-F2-1001-22RL 1997 - 国内
PR-3KP烘箱 PR-3KP烘箱 PR-3KP PR-3KP 2007 - 国内
SEA5220烘箱 SEA5220烘箱 SEA5220 SEA5220 2001 - 国内
FS200超声波清洗机 FS200超声波清洗机 FS200 FS200 2004 - 国内
SMX-160E测试仪 SMX-160E测试仪 SMX-160E SMX-160E 2001 - 国内
S-2400测试仪 S-2400测试仪 S-2400 S-2400 1991 - 国内
A-PM-90A测试仪 A-PM-90A测试仪 A-PM-90A A-PM-90A 1999 - 国内
IPHH-200烘箱 IPHH-200烘箱 IPHH-200 IPHH-200 1990 - 国内
HPS-232烘箱 HPS-232烘箱 HPS-232 HPS-232 1985 - 国内
HHB-010-3SS烘箱 HHB-010-3SS烘箱 HHB-010-3SS HHB-010-3SS 1991 - 国内
TSB-5烘箱 TSB-5烘箱 TSB-5 TSB-5 1991 - 国内
PH-3FT烘箱 PH-3FT烘箱 PH-3FT PH-3FT 1991 - 国内
EHT烘箱 EHT烘箱 EHT EHT 1983 - 国内
TNR15-225LH烘箱 TNR15-225LH烘箱 TNR15-225LH TNR15-225LH 2004 - 国内
TSA-101L-A烘箱 TSA-101L-A烘箱 TSA-101L-A TSA-101L-A 2006 - 国内
TSA-71S-A烘箱 TSA-71S-A烘箱 TSA-71S-A TSA-71S-A 2003 - 国内
EHT烘箱 EHT烘箱 EHT EHT 1982 - 国内
UL-2604-10H扩散炉 UL-2604-10H扩散炉 UL-2604-10H UL-2604-10H 1993 - 国内
SMI3050(C/W)测试仪 SMI3050(C/W)测试仪 SMI3050 SMI3050 - 国内
SMX-1000测试仪 SMX-1000测试仪 SMX-1000 SMX-1000 2007 - 国内
Accord Cleaner清洗机 Accord Cleaner清洗机 Accord 400 - 1 国外
ASM Wire bonder焊线机 ASM Wire bonder焊线机 ASM iHawk Xtreme - 6 国外
ASM Die bonder固晶机 ASM Die bonder固晶机 ASM AD838 - 2 国外
ASM Wire bonder焊线机 ASM Wire bonder焊线机 ASM iHawk Xtreme - 4 国外
CEPHEUS仕宇Taper手动贴膜机 CEPHEUS仕宇Taper手动贴膜机 CEPHEUS仕宇 FM610 - 1 国外
DISCO DCS141清洗机 DISCO DCS141清洗机 DISCO DCS141 - 1 国外
DISCO DTU152冰水机 DISCO DTU152冰水机 DISCO DTU-152 - - 国外
DISCO DAD2H6划片机 DISCO DAD2H6划片机 DISCO DAD2H6 - 1 国外
DISCO DAD321划片机 DISCO DAD321划片机 DISCO DAD321 - 3 国外
DISCO DAD341划片机 DISCO DAD341划片机 DISCO DAD341 - 5 国外
DISCO DGP8760主轴 DISCO DGP8760主轴 DISCO DGP8760 - 1 国外
DISCO DFD651划片机 DISCO DFD651划片机 DISCO DFD651 - 1 国外
DISCO DFD6360划片机 DISCO DFD6360划片机 DISCO DFD6360 - 2 国外
DISCO DTU170冰水机 DISCO DTU170冰水机 DISCO DTU170 - 1 国外
DISCO DAD321划片机 DISCO DAD321划片机 DISCO DAD321 - 1 国外
DISCO DFG8540 Z1 研磨板(new) DISCO DFG8540 Z1 研磨板(new) DISCO BVDS0120 - 7 国外
DISCO DFG8540 Z1 研磨板(used) DISCO DFG8540 Z1 研磨板(used) DISCO BVDS0120 - 3 国外
DISCO DFG8540研磨机 DISCO DFG8540研磨机 DISCO DFG8540 - 18 国外
DISCO DFG8540研磨机 DISCO DFG8540研磨机 DISCO DFG8540 - 7 国外
DISCO DFG8540研磨机 DISCO DFG8540研磨机 DISCO DFG8540 - 7 国外
Transformer变压器 Transformer变压器 ELME transformer - 4 国外
EO tech CSM3002FC雷射盖印机 EO tech CSM3002FC雷射盖印机 EO tech CSM3002FC - 1 国外
Giant Force巨孚高温恒温机 Giant Force巨孚高温恒温机 Giant Force巨孚 NA - 2 国外
GPM KS-340 Die sorter晶粒挑拣机 GPM KS-340 Die sorter晶粒挑拣机 GPM KS-340 - 12 国外
Hermes EC-250S CO2 bubbler产生器 Hermes EC-250S CO2 bubbler产生器 Hermes EC-250S - 1 国外
HITACHI	DB730-AC固晶机 HITACHI DB730-AC固晶机 HITACHI DB730-AC - 4 国外
KLA CI-T130 lead scanner扫脚机 KLA CI-T130 lead scanner扫脚机 KLA科磊 CI-T130 - 1 国外
KLA CI-T130 Lead scanner扫脚机 KLA CI-T130 Lead scanner扫脚机 KLA科磊 CI-T130 - 2 国外
KLA CI-T130 Lead scanner扫脚机 KLA CI-T130 Lead scanner扫脚机 KLA科磊 CI-T120 - 3 国外
KLA CI-T130 Lead scanner扫脚机 KLA CI-T130 Lead scanner扫脚机 KLA科磊 CI-T130 - 1 国外
KLA CI-T130 Lead scanner扫脚机 KLA CI-T130 Lead scanner扫脚机 KLA科磊 CI-T130 - 4 国外
KLA CI-T830 Lead scanner扫脚机 KLA CI-T830 Lead scanner扫脚机 KLA科磊 CI-T830 - 1 国外
KLA Industrial Camera工业相机 KLA Industrial Camera工业相机 KLA科磊 IVC-1600 - 2 国外
KLA Industrial Camera工业相机 KLA Industrial Camera工业相机 KLA科磊 IVC-2000 - 2 国外
KLA IVC-4000 KLA IVC-4000 KLA科磊 IVC-4000 - 1 国外
KLA-Tencor (ICOS) CD KLA-Tencor (ICOS) CD KLA科磊 Manual - 1 国外
KLA-Tencor (ICOS) CD KLA-Tencor (ICOS) CD KLA科磊 ICOS6100_7.6 - 1 国外
UV Irradiator紫外线照射机 UV Irradiator紫外线照射机 Lintec RAD2000 F12 - 1 国外
Muehlbauer Tech DS20000挑拣编带机 Muehlbauer Tech DS20000挑拣编带机 Muehlbauer Tech DS20000 - 1 国外
Muehlbauer Tech DS15000挑拣编带机 Muehlbauer Tech DS15000挑拣编带机 Muehlbauer Tech DS15000 - 1 国外
NGK RC-1000A产生器 NGK RC-1000A产生器 NGK RC-1000A - 1 国外
NITTO DSA840贴片机 NITTO DSA840贴片机 NITTO DSA840 - 1 国外
NITTO HSA840撕膜机 NITTO HSA840撕膜机 NITTO HSA840 - 1 国外
NITTO M286N贴片机 NITTO M286N贴片机 NITTO M286N - 2 国外
NITTO MSA840贴片机 NITTO MSA840贴片机 NITTO MSA840 - 2 国外
NITTO MA3000-II贴片机 NITTO MA3000-II贴片机 NITTO MA3000-II - 1 国外
NITTO UM-810紫外线照射机 NITTO UM-810紫外线照射机 NITTO UM-810 - 2 国外
NITTO NITOMATIC Detaper撕膜机 NITTO NITOMATIC Detaper撕膜机 NITTO NITOMATIC - 1 国外
NITTO DR3000-II贴膜机 NITTO DR3000-II贴膜机 NITTO DR3000-II - 3 国外
NITTO DR3000-III贴膜机 NITTO DR3000-III贴膜机 NITTO DR3000-III - 1 国外
NITTO MA1508N贴片机 NITTO MA1508N贴片机 NITTO MA1508N - 1 国外
Nordson Quantum Q-6800自动点胶系统 Nordson Quantum Q-6800自动点胶系统 Nordson Quantum Q-6800 - 1 国外
Panaonic KXFW1KS8A00 Feeder飛達 Panaonic KXFW1KS8A00 Feeder飛達 Panaonic KXFW1KS8A00 - 1 国外
Panaonic KXFW1KS5A00 Feeder飛達 Panaonic KXFW1KS5A00 Feeder飛達 Panaonic KXFW1KS5A00 - 6 国外
SEIKO EPSON Handler分选机 SEIKO EPSON Handler分选机 SEIKO EPSON NS-6040 - 34 国外
SEIKO EPSON Handler分选机 SEIKO EPSON Handler分选机 SEIKO EPSON NS-7000 - 11 国外
SEIKO EPSON Handler分选机 SEIKO EPSON Handler分选机 SEIKO EPSON NS-7080 - 3 国外
SEIKO EPSON Handler分选机 SEIKO EPSON Handler分选机 SEIKO EPSON NS-7000 - 3 国外
SEIKO EPSON Handler分选机 SEIKO EPSON Handler分选机 SEIKO EPSON NS-8040 - 1 国外
SEIKO EPSON Handler分选机 SEIKO EPSON Handler分选机 SEIKO EPSON NS-8080 - 1 国外
TAKATORI ATM-12000DR贴膜机 TAKATORI ATM-12000DR贴膜机 TAKATORI ATM-12000DR - 1 国外
TAKATORI ATRM-2100D撕膜机 TAKATORI ATRM-2100D撕膜机 TAKATORI ATRM-2100D - 1 国外
TAKATORI TEAM-300贴膜机 TAKATORI TEAM-300贴膜机 TAKATORI TEAM-300 - 1 国外
TAKATORI ATRM-2100撕膜机 TAKATORI ATRM-2100撕膜机 TAKATORI ATRM-2100 - 1 国外
TEIKOKU ATM-800X贴膜机 TEIKOKU ATM-800X贴膜机 TEIKOKU ATM-800X - 1 国外
ACCRETECH TSK A-WD-5000A划片机 ACCRETECH TSK A-WD-5000A划片机 ACCRETECH东京精密 A-WD-5000A - 1 国外
UNI-TEK	TM2510手动贴片机 UNI-TEK TM2510手动贴片机 UNI-TEK TM2510 - 1 国外
Y-A-M-A-T-O Oven烤箱 Y-A-M-A-T-O Oven烤箱 Y-A-M-A-T-O DKN402 - 1 国外
AST Evaporator电子束蒸镀机 AST Evaporator电子束蒸镀机 AST PEVA-900E 2014-08-13 已打包 国外
Camtek Falcon 620Plus晶圆检测机器 Camtek Falcon 620Plus晶圆检测机器 Camtek Falcon 620Plus 2014-12-31 已打包 国外
CDE resh map 168CDE四点探针电阻仪 CDE resh map 168CDE四点探针电阻仪 CDE CDE ResMap168 2015-05-21 已打包 国外
CSK HEATS-05洗涤器 CSK HEATS-05洗涤器 CSK南韩 HEATS-05 2015-08-05 已打包 国外
RIGAKU WDA-3650荧光光谱仪 RIGAKU WDA-3650荧光光谱仪 RIGAKU WDA-3650 2015-06-30 已打包 国外
SPTS FXP刻蚀机 SPTS FXP刻蚀机 SPTS FXP 2014-03-11 已打包 国外
Gemini Fusion/Anodic Bonder熔合/阳极键合机 Gemini Fusion/Anodic Bonder熔合/阳极键合机 EVG Gemini 2015-03-01 已打包 国外
OKAMOTO GNX200B研磨机 OKAMOTO GNX200B研磨机 OKAMOTO GNX200B 2015-03-01 已打包 国外
Holmarc Contact AngleHolmarc 接触角测量仪 Holmarc Contact AngleHolmarc 接触角测量仪 Holmarc HO-IAD-CAM-01A 2016-07-14 已打包 国外
KEYENCE VHX-500数字显微镜 KEYENCE VHX-500数字显微镜 KEYENCE VHX-500 2016-02-17 已打包 国外
MX608 Wafer Thickness晶圆厚度测量仪 MX608 Wafer Thickness晶圆厚度测量仪 E+H Metrology E+H MX608T 2015-11-06 已打包 国外
NIKON DS-FI2显微镜校准器 NIKON DS-FI2显微镜校准器 NIKON DS-FI2 2017-06-30 已打包 国外
OAI Mask alignerOAI光刻机 OAI Mask alignerOAI光刻机 OAI 8008A 2014-08-29 已打包 国外
PAV Tepla GIGABatch 380P除胶机 PAV Tepla GIGABatch 380P除胶机 PAV Tepla GIGABatch 380P 2016-12-24 已打包 国外
SPTS CET 25 VPX蒸汽蚀刻机 SPTS CET 25 VPX蒸汽蚀刻机 SPTS CET 25 VPX 2016-04-04 已打包 国外
RCH FurnaceRCH 炉管 RCH FurnaceRCH 炉管 RCH Model 5604 2014-09-01 已打包 国外
RISE Wet Bench酸槽 RISE Wet Bench酸槽 RISE NA 2015-03-01 已打包 国外
Sensofar Pegasus 8060膜厚测量机 Sensofar Pegasus 8060膜厚测量机 Sensofar Pegasus 8060 2015-03-01 已打包 国外
SRD Der Fong DF-200-D晶圆甩干机 SRD Der Fong DF-200-D晶圆甩干机 Der Fung Engineering DF-200 2014-06-30 已打包 国外
TEL ACT8涂胶显影机 TEL ACT8涂胶显影机 TEL ACT8 2014-12-17 已打包 国外
DISCO DFD6240划片机 DISCO DFD6240划片机 DISCO DFD6240 2015-11-06 已打包 国外
KLA FLX-5400 Flexus晶圆翘曲度测量仪 KLA FLX-5400 Flexus晶圆翘曲度测量仪 KLA科磊 FLX-5400 2015-02-01 已打包 国外
KLA SURFSCAN SFS6220颗粒检测仪 KLA SURFSCAN SFS6220颗粒检测仪 KLA科磊 SFS6220 2015-02-01 已打包 国外
KLA Surfscan SP3(上料机构) KLA Surfscan SP3(上料机构) KLA科磊 SP3 2012.3 上料机构 国外
ASML PASS 5500/100D光刻机 ASML PASS 5500/100D光刻机 ASML PASS 5500/100D 1996 6in(有3台) 国外
LAM SEZ203晶圆旋转刻蚀机 LAM SEZ203晶圆旋转刻蚀机 LAM泛林 SEZ203 - - 已售出
EVG510晶圆键合机 EVG510晶圆键合机 EVG EVG510 2017 - 国外
HITACHI S-8820扫描电子显微镜 HITACHI S-8820扫描电子显微镜 HITACHI S-8820 - 未折箱 国外
HITACHI S-8840扫描电子显微镜 HITACHI S-8840扫描电子显微镜 HITACHI S-8840 - - 国内
KARL SUSS ACS200Plus涂胶显影机 KARL SUSS ACS200Plus涂胶显影机 KARL SUSS ACS200Plus 1998 Falcon robot
(4) Hot plates
(2)
国外
KLA Surfscan SP2晶圆检测系统 KLA Surfscan SP2晶圆检测系统 KLA科磊 SP2 - 300mm,真空处理与三重FIMS;
全面翻新和校准,在洁净室中
国外
TEL Trias (SFD TIN ) TEL Trias (SFD TIN ) TEL Trias (SFD TIN ) 2007 300mm As-Is, Where-Is 国外
TEL Trias (SFD TIN ) TEL Trias (SFD TIN ) TEL Trias (SFD TIN ) 2007 300mm As-Is, Where-Is 国外
NIKON NSR 2205i11D光刻机 NIKON NSR 2205i11D光刻机 NIKON NSR-2205i11D 1996.3 200mm As-Is, Where-Is;含软件及硬盘 国外
鑫揚CASSETTE CLEAN 鑫揚CASSETTE CLEAN 鑫揚 CASSETTE CLEAN 2015.12 200mm As-Is, Where-Is;不含硬盘 国外
DNS FC-821L DNS FC-821L DNS FC-821L 2003.6 200mm As-Is, Where-Is;不含硬盘 国外
DNS FC-821L DNS FC-821L DNS FC-821L 2001.1 200mm As-Is, Where-Is;不含硬盘 国外
DNS FC-821L DNS FC-821L DNS FC-821L 2001.2 200mm As-Is, Where-Is;不含硬盘 国外
KLA UV-1050薄膜测量系统 KLA UV-1050薄膜测量系统 KLA科磊 UV-1050 1996.5 200mm As-Is, Where-Is;含软件及硬盘 国外
SPC ELECTRONICS CASSETTE CLEANING SPC ELECTRONICS CASSETTE CLEANING SPC ELECTRONICS CASSETTE CLEANING 2000.4 200mm As-Is, Where-Is;不含硬盘 国外
Thermo Fisher DX600 Thermo Fisher DX600 Thermo Fisher DX600 2002.2 300mm As-Is, Where-Is;不含硬盘 国外
DNS MP3000 DNS MP3000 DNS MP3000 2005.12 300mm As-Is, Where-Is;不含硬盘 国外
ULVAC W300 EX多腔溅射设备 ULVAC W300 EX多腔溅射设备 ULVAC爱发科 W300 EX 2005.11 300mm As-Is, Where-Is;不含硬盘 国外
TEL ACT-12涂胶显影机 TEL ACT-12涂胶显影机 TEL ACT-12 2004.4 300mm As-Is, Where-Is;不含硬盘 国外
TEL Trias TEL Trias TEL Trias 2003.11 300mm As-Is, Where-Is;不含硬盘 国外
TEL UW300Z TEL UW300Z TEL UW300Z 2002.3 300mm As-Is, Where-Is;不含硬盘 国外
Mattson Helios Mattson Helios Mattson Helios 2005.3 300mm As-Is, Where-Is;不含硬盘 国外
Mattson 3000steam Mattson 3000steam Mattson 3000steam 2004.4 300mm As-Is, Where-Is;不含硬盘 国外
ASM Dragon ASM Dragon ASM Dragon 2005.11 300mm As-Is, Where-Is;不含硬盘 国外
ASM Dragon ASM Dragon ASM Dragon 2005.8 300mm As-Is, Where-Is;不含硬盘 国外
LEICA INS3300 LEICA INS3300 LEICA INS3300 2002.8 300mm As-Is, Where-Is;不含硬盘 国外
LEICA INS3300 LEICA INS3300 LEICA INS3300 2005.2 300mm As-Is, Where-Is;不含硬盘 国外
HITACHI IS3000 HITACHI IS3000 HITACHI IS3000 2006.7 300mm As-Is, Where-Is;不含硬盘 国外
HITACHI U-712 HITACHI U-712 HITACHI U-712 2003.10 300mm As-Is, Where-Is;不含硬盘 国外
HITACHI U-712 HITACHI U-712 HITACHI U-712 2003.11 300mm As-Is, Where-Is;不含硬盘 国外
HITACHI U-712 HITACHI U-712 HITACHI U-712 2003.10 300mm As-Is, Where-Is;不含硬盘 国外
REVERA RVX1000 REVERA RVX1000 REVERA RVX1000 2007.7 300mm As-Is, Where-Is;不含硬盘 国外
HMI eScan310 HMI eScan310 HMI eScan310 2008.12 300mm As-Is, Where-Is;不含硬盘 国外
ASM Epsilon E2000 ASM Epsilon E2000 ASM Epsilon E2000 - - 国外
ASM Epsilon E2000+ ASM Epsilon E2000+ ASM Epsilon E2000+ 2011 上个月拆机前正常运作,目前存放在温控仓库. 国外
KLA Surfscan SP1 TBI颗粒测量仪 KLA Surfscan SP1 TBI颗粒测量仪 KLA科磊 SP1-TBI - Equipment Make: KLA-Tencor
Equ
国外
HITACHI SU-9000扫描电镜 HITACHI SU-9000扫描电镜 HITACHI SU-9000 - - 国外
Mattson AST3000退火炉 Mattson AST3000退火炉 Mattson AST3000 - - 国外
KARL SUSS ACS200涂胶显影机 KARL SUSS ACS200涂胶显影机 KARL SUSS ACS200 2006 GENMARK Robot
(2) RC8 Spin coa
国外
ASML XT1250D光刻机 ASML XT1250D光刻机 ASML XT1250D - 无尘车间正常运转中 国外
DISCO DFD651划片机 DISCO DFD651划片机 DISCO DFD651 1997 机况良好. 国外
TECHNOS TREX 610T缺陷测试仪 TECHNOS TREX 610T缺陷测试仪 TECHNOS TREX610T 1999.11 - 国外
DISCO DFG840减薄机 DISCO DFG840减薄机 DISCO DFG840 1997 - 国外
DISCO DFD6362划片机 DISCO DFD6362划片机 DISCO DFD6362 2012 - 已售出
DNS KS-7000 DNS KS-7000 DNS KS-7000 2012.5 - 国内
CANON PLA-501FA投影式光刻机 CANON PLA-501FA投影式光刻机 CANON PLA-501FA - - 国外
HITACHI S-4700扫描电子显微镜 HITACHI S-4700扫描电子显微镜 HITACHI S-4700 2001 HORIBA 7200-H X-Ray spectroscopy
国外
NIKON NSR 2205i11C光刻机 NIKON NSR 2205i11C光刻机 NIKON NSR-2205i11C 1994 Stepper, 6" 国外
HITACHI S-8820扫描电子显微镜 HITACHI S-8820扫描电子显微镜 HITACHI S-8820 - 200mm For sale
Power-on Conditio
国外
45所瑞耘敦仪甩干机多台 45所瑞耘敦仪甩干机多台 45所瑞耘敦仪 - - 单机已调试好,配套转子,含调试含税运 国内
DISCO DFG841晶圆减薄机 DISCO DFG841晶圆减薄机 DISCO DFG841 1999 含安装调试 国内
NIKON NSR 2205 i11D光刻机 NIKON NSR 2205 i11D光刻机 NIKON NSR-2205i11D - - 国外
CANON MPA-500FA光刻机 CANON MPA-500FA光刻机 CANON MPA-500FA 1982 5" 国外
CANON MPA-500FA光刻机 CANON MPA-500FA光刻机 CANON MPA-500FA 1995 5" 国外
CANON MPA-500FAB光刻机 CANON MPA-500FAB光刻机 CANON MPA-500FAB 1995 5" 国外
CANON MPA-500FAB光刻机 CANON MPA-500FAB光刻机 CANON MPA-500FAB 1996 5" 国外
CANON MPA-500FA光刻机 CANON MPA-500FA光刻机 CANON MPA-500FA 1983 5" 国外
CANON PLA-501FA投影式光刻机	CANON PLA-501FA投影式光刻机 CANON PLA-501FA 1983 5" 国外
SVG MSX1000 涂胶显影机 SVG MSX1000 涂胶显影机 SVG MSX1000 2006 2" 2C(PBF Coater) 国外
DNS SC-W80A-AVFG刷片机 DNS SC-W80A-AVFG刷片机 DNS SC-W80A-AVFG 1996.12 8" Scrubber 国外
DNS SCW-636-BV 涂胶显影机 DNS SCW-636-BV 涂胶显影机 DNS SCW-636-BV 1988 6" 2C 国外
TEL MARK-II涂胶显影机 TEL MARK-II涂胶显影机 TEL MARK-II 1989 6" 2D 国外
TEL MARK-II涂胶显影机 TEL MARK-II涂胶显影机 TEL MARK-II 1989 6" 2D 国外
TEL IW-6D扩散炉 TEL IW-6D扩散炉 TEL IW-6D 1996 5" 立式氧化 国外
TEL IW-6D扩散炉 TEL IW-6D扩散炉 TEL IW-6D 1997 5" 立式氧化 国外
TEL UL-2604-08-HS扩散炉 TEL UL-2604-08-HS扩散炉 TEL UL-2604-08-HS 1988 6" 四管卧式氧化 国外
TEL UL-2604-08-HS扩散炉 TEL UL-2604-08-HS扩散炉 TEL UL-2604-08-HS 1988 6" 四管卧式氧化 国外
TEL UL-2604-08L扩散炉 TEL UL-2604-08L扩散炉 TEL UL-2604-08L 1990 6" 四管卧式氧化 国外
NITTO DENKO USD-6·D-11·2-2扩散炉 NITTO DENKO USD-6·D-11·2-2扩散炉 NITTO DENKO USD-6·D-11·2-2 1989 6" 立式常压扩散 国外
NITTO DENKO USD-6·D-12·2-1扩散炉 NITTO DENKO USD-6·D-12·2-1扩散炉 NITTO DENKO USD-6·D-12·2-1 1989 6" 立式常压扩散 国外
NITTO DENKO USD-6·D-5·3-2扩散炉 NITTO DENKO USD-6·D-5·3-2扩散炉 NITTO DENKO USD-6·D-5·3-2 1989 6" 立式常压扩散 国外
NITTO DENKO USD-6·D-7·3-2扩散炉 NITTO DENKO USD-6·D-7·3-2扩散炉 NITTO DENKO USD-6·D-7·3-2 1989 6" 立式常压扩散 国外
NITTO DENKO USD-6·D-8·3-7扩散炉 NITTO DENKO USD-6·D-8·3-7扩散炉 NITTO DENKO USD-6·D-8·3-7 1989 6" 立式常压扩散 国外
NITTO DENKO USD-6·D-8·3-8扩散炉 NITTO DENKO USD-6·D-8·3-8扩散炉 NITTO DENKO USD-6·D-8·3-8 1989 6" 立式常压扩散 国外
Toshiba Machine EGV-28GX外延炉 Toshiba Machine EGV-28GX外延炉 Toshiba Machine EGV-28GX 1998 6" 双腔平板式外延 国外
Shibaura CDE-7-3A 干法刻蚀机 Shibaura CDE-7-3A 干法刻蚀机 Shibaura CDE-7-3A 1989 6" 微波去胶 国外
HITACHI M-308AT干法刻蚀机 HITACHI M-308AT干法刻蚀机 HITACHI M-308AT 1993 6" 刻金属 国外
Alcan Tech MAS-801干法刻蚀机 Alcan Tech MAS-801干法刻蚀机 Alcan Tech MAS-801 1990 6" 等离子体去胶 国外
Alcan Tech MAS-801干法刻蚀机 Alcan Tech MAS-801干法刻蚀机 Alcan Tech MAS-801 1990 6" 等离子体去胶 国外
Alcan Tech MAS-801干法刻蚀机 Alcan Tech MAS-801干法刻蚀机 Alcan Tech MAS-801 1990 6" 等离子体去胶 国外
Alcan Tech MAS-801干法刻蚀机 Alcan Tech MAS-801干法刻蚀机 Alcan Tech MAS-801 1990 6" 等离子体去胶 国外
TEL TE480HGC干法刻蚀机 TEL TE480HGC干法刻蚀机 TEL TE480HGC 1989 6" SIN刻蚀 国外
TEL TE480HGC干法刻蚀机 TEL TE480HGC干法刻蚀机 TEL TE480HGC 1989 6" SIN刻蚀 国外
TEL VDF610S立式扩散炉 TEL VDF610S立式扩散炉 TEL VDF610S 1989 6" 立式扩散 国外
NISSIN NH-20SR离子注入机 NISSIN NH-20SR离子注入机 NISSIN日新 NH-20SR 1989 6" 中束流 国外
NISSIN NH-20SR离子注入机 NISSIN NH-20SR离子注入机 NISSIN日新 NH-20SR 1989 6" 中束流 国外
NEC Laser Automation SL-473F打标机 NEC Laser Automation SL-473F打标机 NEC Laser Automation SL-473F 1997 6" 激光打标 国外
DAN SCIENCE DI-200H-AR烘箱 DAN SCIENCE DI-200H-AR烘箱 DAN SCIENCE DI-200H-AR 1995 6" 国外
TEL MAC-92CV掩膜版测试仪 TEL MAC-92CV掩膜版测试仪 TEL MAC-92CV 1997 6" overlay 国外
NAPSON RG-8 电阻率测试仪 NAPSON RG-8 电阻率测试仪 NAPSON RG-8 1990 6" 国外
Dainippon Screen MFC SCW-622-BV旋转涂膜机 Dainippon Screen MFC SCW-622-BV旋转涂膜机 Dainippon Screen MFC SCW-622-BV 1989 6" 双轨SOG 国外
NIKON NSR 1505G6E光刻机 NIKON NSR 1505G6E光刻机 NIKON NSR-1505G6E 1998 6" 国外
NIKON NSR 1505G6E光刻机 NIKON NSR 1505G6E光刻机 NIKON NSR-1505G6E 1998 6"步进式 国外
NITTO SEIKI Detaper去膜机 NITTO SEIKI Detaper去膜机 NITTO SEIKI Detaper - 6" 揭膜 国外
Nanometrics M-215膜厚测量仪 Nanometrics M-215膜厚测量仪 Nanometrics M-215 1989.05 6" 国外
Nanometrics M-215膜厚测量仪 Nanometrics M-215膜厚测量仪 Nanometrics M-215 1989.05 6" 国外
USHIO UMA-802-H55RM紫外线固胶机 USHIO UMA-802-H55RM紫外线固胶机 USHIO UMA-802-H55RM 1989 6" UV HARDEN USHIO INC. 国外
USHIO UMA-802-H55RM紫外线固胶机 USHIO UMA-802-H55RM紫外线固胶机 USHIO UMA-802-H55RM 1989 6" UV HARDEN USHIO INC. 国外
USHIO UMA-802-HC551RM紫外线固胶机 USHIO UMA-802-HC551RM紫外线固胶机 USHIO UMA-802-HC551RM 1994 6" UV HARDEN USHIO INC. 国外
USHIO UMA-802-HC55RM紫外线固胶机 USHIO UMA-802-HC55RM紫外线固胶机 USHIO UMA-802-HC55RM 1994 6" UV HARDEN USHIO INC. 国外
VPRA-6检版机 VPRA-6检版机 - VPRA-6 - 6" 国外
NIKON NSR SF120光刻机 NIKON NSR SF120光刻机 NIKON NSR-SF120 - 12" 已售出
NIKON NSR SF120光刻机 NIKON NSR SF120光刻机 NIKON NSR-SF120 - 12" 已售出
NIKON NSR SF130光刻机 NIKON NSR SF130光刻机 NIKON NSR-SF130 - 12" 已售出
NIKON NSR 4425i光刻机 NIKON NSR 4425i光刻机 NIKON NSR-4425i 1995.1 8"(缺件) 已售出
NIKON NSR 1755i7A光刻机 NIKON NSR 1755i7A光刻机 NIKON NSR-1755i7A 1990.9 6"暂停销售 已售出
NIKON NSR 2005i8A光刻机 NIKON NSR 2005i8A光刻机 NIKON NSR-2005i8A - 6"暂停销售 已售出
TEL Lithius涂胶显影机 TEL Lithius涂胶显影机 TEL Lithius 2005.3 8"暂停销售 已售出
Toho technology H840A甩干机 Toho technology H840A甩干机 Toho technology H840A - 6"卧式甩干 国外
Toho technology H841A甩干机 Toho technology H841A甩干机 Toho technology H841A - 6"卧式甩干 国外
Arbrown SPD-160RN甩干机 Arbrown SPD-160RN甩干机 Arbrown SPD-160RN - 6"卧式甩干 国外
Arbrown H1220RNN甩干机 Arbrown H1220RNN甩干机 Arbrown H1220RNN - 6"卧式甩干 国外
SCREEN R1W-811甩干机 SCREEN R1W-811甩干机 SCREEN R1W-811 - 6"卧式甩干 国外
Varian 120XP大束流离子注入机 Varian 120XP大束流离子注入机 Varian 120XP 1989.9 6"大束流 国外
NIKON NSR 1755i7B光刻机 NIKON NSR 1755i7B光刻机 NIKON NSR-1755i7B - 6" 国外
NIKON NSR 1505G7E光刻机 NIKON NSR 1505G7E光刻机 NIKON NSR-1505G7E - 6"备件机 国外
NIKON NSR 1505G6E光刻机 NIKON NSR 1505G6E光刻机 NIKON NSR-1505G6E - 6"备件机 国外
KOKUSAI DD-803V扩散炉 KOKUSAI DD-803V扩散炉 KOKUSAI DD-803V 1991.7 6"立式氧化 国外
TECHNOS TREX610T缺陷测试仪 TECHNOS TREX610T缺陷测试仪 TECHNOS TREX610T 1992.4 6" 国外
TEL MARK8涂胶显影机 TEL MARK8涂胶显影机 TEL MARK8 1997.3 8" 2C/1D 国外
SVG-8800涂胶机 SVG-8800涂胶机 SVG 8800 - 6" 2C 国外
SVG-8800涂胶机 SVG-8800涂胶机 SVG 8800 - 6" 2C 国外
SVG-8800显影机 SVG-8800显影机 SVG 8800 - 6" 2D 国外
SVG-8800显影机 SVG-8800显影机 SVG 8800 - 6" 2D 国外
Yes-1烘箱 Yes-1烘箱 - Yes-1 - 6" HMDS 国外
NIKON NSR 2205i11D光刻机 NIKON NSR 2205i11D光刻机 NIKON NSR-2205i11D - 6" 国外
MP FSI MP FSI MP FSI 1989.6 在购热机 6" H2SO4/HCL/HF/H2O2/NH4OH;6 国外
SCP 8400清洗机 SCP 8400清洗机 SCP 8400 1997.2 在购热机 6" 半自动槽式;H2SO4/HCL/HF/H2O2/N 国外
SCP 8400清洗机 SCP 8400清洗机 SCP 8400 1997.2 在购热机 6" 半自动槽式;HF/HCL/H2O2/NH4OH;1 国外
SCP 8400清洗机 SCP 8400清洗机 SCP 8400 1997.2 在购热机 6" 槽式;HF/HCL/H2O2//NH4OH;16卡 国外
 RTS-8四探针台 RTS-8四探针台 RTS RTS-8 2007 6"缺备件不可调试,作备件机 国外
TAKATORI ATRM-2100去膜机 TAKATORI ATRM-2100去膜机 TAKATORI ATRM-2100 1994.6 6"缺备件,长期宕机 国外
SCP PBL/SCP清洗机 SCP PBL/SCP清洗机 SCP PBL/SCP 1994.5 在购热机 6" 半自动槽式;ox/SiN剥离;HF/H3PO4;6 国外
SCP-8400清洗机 SCP-8400清洗机 SCP 8400 1994.5 在购热机 6" 半自动槽式;去胶;H2SO4/H2O2;6卡塞 国外
SCP 8400清洗机 SCP 8400清洗机 SCP 8400 1992.11 在购热机 6" 槽式;BM Rework 国外
SCP 8400清洗机 SCP 8400清洗机 SCP 8400 1992.11 在购热机 6" 手动槽式;OX剥离;HF;4卡塞 国外
SCP 8400清洗机 SCP 8400清洗机 SCP 8400 1992.11 在购热机 6" BOE7:1;ox剥离;6卡塞 国外
SCP 8400清洗机 SCP 8400清洗机 SCP 8400 1992.11 在购热机 6" 半自动槽式;去胶;H2SO4/H2O2;6卡塞 国外
AMAT 8310刻蚀机 AMAT 8310刻蚀机 AMAT应用材料 8310 1988.6 在购热机 6" 刻压点;18片/炉;最大1800W;机械手传片 国外
AMAT 8330刻蚀机 AMAT 8330刻蚀机 AMAT应用材料 8330 1991.4 在购热机 6" 刻AL;18片/炉;最大1800W;机械手传片 国外
AMAT 8330刻蚀机 AMAT 8330刻蚀机 AMAT应用材料 8330 1990.7 在购热机 6" 刻AL;18片/炉;最大1800W;机械手传片 国外
AMAT 8330刻蚀机 AMAT 8330刻蚀机 AMAT应用材料 8330 1990.7 在购热机 6" 刻AL;18片/炉;最大1800W;机械手传片 国外
AMAT 8330刻蚀机 AMAT 8330刻蚀机 AMAT应用材料 8330 1990.5 在购热机 6" 刻AL;18片/炉;最大1800W;机械手传片 国外
GASONICS Aura-1000干法去胶机 GASONICS Aura-1000干法去胶机 GASONICS Aura-1000 1994.1 部分完整 6" 可调试 单片微波;最大1000W;机械手传片 国外
AMAT 8310刻蚀机 AMAT 8310刻蚀机 AMAT应用材料 8310 1991.5 在购热机 6" 刻压点;18片/炉;最大1800W;机械手传片 国外
AMAT 8310刻蚀机 AMAT 8310刻蚀机 AMAT应用材料 8310 1992.6 在购热机 6" 刻压点;18片/炉;最大1800W;机械手传片 国外
AMAT 8330刻蚀机 AMAT 8330刻蚀机 AMAT应用材料 8330 1990.2 在购热机 6" 刻AL;18片/炉;最大1800W;机械手传片 国外
AMAT 8330刻蚀机 AMAT 8330刻蚀机 AMAT应用材料 8330 1988.8 在购热机 6" 刻AL;18片/炉;最大1800W;机械手传片 国外
CDE CDE-73B 刻蚀机 CDE CDE-73B 刻蚀机 CDE CDE-73B 1999.9 在购热机 6" 刻Si;4片/炉;最大功率900W;皮带传片 国外
Mattson Aspen II CVD Mattson Aspen II CVD Mattson Aspen II 2007 离线完整设备 6" CVD(SiO2/SiN);4片/腔;单腔;3 国外
Varian M2i溅射台 Varian M2i溅射台 Varian M2i 1995.7 在购热机 6" 单片溅射;4个靶位(Ti/AL/Wsi);WPH= 国外
SEMIX TOK TR6132涂胶机 SEMIX TOK TR6132涂胶机 SEMIX TOK TR6132 1999.12 在购热机 6" SOG;1条轨道;机械传片;WPH=25 国外
AXCELIS M150PC紫外线固胶机 AXCELIS M150PC紫外线固胶机 AXCELIS M150PC 1989 在购热机 6" 单片;自动传片;WPH=25 国外
AXCELIS M150PC紫外线固胶机 AXCELIS M150PC紫外线固胶机 AXCELIS M150PC 1990 备件机台 6" 单片;自动传片;WPH=25 国外
AXCELIS M150PC紫外线固胶机 AXCELIS M150PC紫外线固胶机 AXCELIS M150PC 1990 在购热机 6" 单片;自动传片;WPH=25 国外
AXCELIS M150PC紫外线固胶机 AXCELIS M150PC紫外线固胶机 AXCELIS M150PC 1990 在购热机 6" 单片;自动传片;WPH=25 国外
AXCELIS M150PC紫外线固胶机 AXCELIS M150PC紫外线固胶机 AXCELIS M150PC 1990 在购热机 6" 单片;自动传片;WPH=25 国外
NIKON NWL 860+OPTIPHOT 200显微镜 NIKON NWL 860+OPTIPHOT 200显微镜 NIKON NWL 860+OPTIPHOT 200 2004 备件机台 6" 带自动传片功能 国外
NIKON NWL 860+OPTIPHOT 200显微镜 NIKON NWL 860+OPTIPHOT 200显微镜 NIKON NWL 860+OPTIPHOT 200 2004 备件机台 6" 带自动传片功能 国外
Bruce Horizontal Furnace 扩散炉 Bruce Horizontal Furnace 扩散炉 Bruce Horizontal Furnace 1985 在购热机 6" P扩散;1000℃±1℃;250片/LOT 国外
THERMCO Horizontal Furnace 扩散炉 THERMCO Horizontal Furnace 扩散炉 THERMCO Horizontal Furnace 1985 在购热机 6" P扩散;1000℃±1℃;250片/LOT 国外
THERMCO Horizontal Furnace 扩散炉 THERMCO Horizontal Furnace 扩散炉 THERMCO Horizontal Furnace 1985 在购热机 6" P扩散;1000℃±1℃;250片/LOT 国外

页次: 3 / 9页 每页:500 设备数:4137   9[1][2][3][4][5][6][7][8][9]: 总共有9页

二手半导体设备交易平台semi1688.com
城市分站:北京龙玺精密 | 上海龙玺精密 | 深圳龙玺精密 | 成都龙玺精密 | 天津 | 香港 | 澳门 | 安徽 | 福建 | 广东 | 广西 | 贵州 | 甘肃 | 海南 | 河北 | 河南 | 黑龙江 | 湖北 | 湖南 | 吉林 | 江苏 | 江西 | 辽宁 | 内蒙古 | 宁夏 | 青海 | 陕西 | 山西 | 山东 | 四川 | 台湾 | 西藏 | 新疆 | 云南 | 浙江 | japan longxi | korea longxi | USA longxi
 
关于我们 | 产品中心 | 新闻资讯 | 非标定制 | 技术服务 | 联系我们 | 二手半导体设备采购平台
地址:宁波市奉化区东峰路80号or江苏徐州市贾汪区工业园 电话:18868521984龙先生
邮箱:ljb929@126.com 注:设备状态不定期更新,是否已售出请咨询。
    设备咨询,请加我微信
Copyright © 2019-2024 龙玺精密 版权所有 备案号:浙ICP备2021036227号
VGF单晶炉|高压VGF单晶炉|VTM单晶炉|SIC单晶炉|多晶合成炉|平面抛光机|平面研磨机|双面研磨机和抛光机|曲面抛光机|槽式清洗机|第二代半导体材料全自动清洗机|GASS立式甩干机|多工位甩干机|高温退火炉|低温立式炉|ALD原子层沉积设备|真空封焊炉|磁控溅射镀膜机|高真空多靶磁控溅射镀膜机|卷绕镀膜系统|电阻蒸发镀膜机|电子束蒸发镀膜机|机械手|手套箱|晶体生长设备|研磨抛光设备|材料清洗设备|真空镀膜设备|其他定制设备|二手半导体设备|国内二手半导体设备|国外二手半导体设备|二手半导体设备买卖|二手半导体设备翻新|二手半导体设备维护|二手半导体设备回收|二手半导体设备采购平台|二手半导体设备交易平台|切割机|划片机|光刻机|检测仪|测试仪|扫描电镜|蚀刻机|探针台|二手半导体设备|二手CANON设备|二手NIKON设备|二手DISCO设备|二手ASML设备|二手AMAT设备|二手TEL设备|二手LAM设备|二手KLA设备|二手Semics设备|二手Hitachi设备|二手半导体设备采购平台semi1688.com
VGF单晶炉|高压VGF单晶炉|VTM单晶炉|SIC单晶炉|多晶合成炉|平面抛光机|平面研磨机|双面研磨机|双面抛光机|曲面抛光机|槽式清洗机|第二代半导体材料全自动清洗机|GASS立式甩干机|多工位甩干机|高温退火炉|低温立式炉|ALD原子层沉积设备|真空封焊炉|磁控溅射镀膜机|高真空多靶磁控溅射镀膜机|卷绕镀膜系统|电阻蒸发镀膜机|电子束蒸发镀膜机|机械手|手套箱|晶体生长设备|研磨抛光设备|材料清洗设备|真空镀膜设备|其他定制设备|龙玺精密|国内二手设备|国外二手设备|二手半导体设备|二手设备买卖|二手设备翻新|二手设备回收|CANON二手设备|NIKON二手设备|DISCO二手设备|ASML二手设备|AMAT二手设备|TEL二手设备|LAM二手设备|KLA二手设备|SEMICS二手设备|HITACHI二手设备|芯片|晶圆|封装|半导体|切割机|划片机|光刻机|检测仪|测试仪|半导体设备|半导体封装|扫描电镜|蚀刻机|探针台|扩散炉