二手半导体设备交易平台semi1688.com
首页 CANON NIKON DISCO ASML AMAT TEL LAM KLA SEMICS HITACHI YOKOGAWA
图 片 设备名称 制造商 型号 年份 详细配置 状 态
Bruce Horizontal Furnace 扩散炉 Bruce Horizontal Furnace 扩散炉 Bruce Horizontal Furnace 1985 缺备件不能调试,作备件机6" 国外
Bruce Horizontal Furnace 扩散炉 Bruce Horizontal Furnace 扩散炉 Bruce Horizontal Furnace 1985 在购热机 6" DRIVE工艺;1250℃±1℃;250片/LOT 国外
Bruce Horizontal Furnace 扩散炉 Bruce Horizontal Furnace 扩散炉 Bruce Horizontal Furnace 1985 在购热机 6" 退火工艺;1050℃±1℃;250片/LOT 国外
Bruce Horizontal Furnace 扩散炉 Bruce Horizontal Furnace 扩散炉 Bruce Horizontal Furnace 1985 在购热机 6" 退火工艺;1050℃±1℃;250片/LOT 国外
Bruce Horizontal Furnace 扩散炉 Bruce Horizontal Furnace 扩散炉 Bruce Horizontal Furnace 1985 缺备件不能调试,6" 作备件机 国外
Bruce Horizontal Furnace 扩散炉 Bruce Horizontal Furnace 扩散炉 Bruce Horizontal Furnace 1985 在购热机 6" P扩散;1000℃±1℃;250片/LOT 国外
Bruce Horizontal Furnace 扩散炉 Bruce Horizontal Furnace 扩散炉 Bruce Horizontal Furnace 1985 在购热机 6" BPSG回流工艺;1100℃±1℃;250片/LO 国外
Bruce Horizontal Furnace 扩散炉 Bruce Horizontal Furnace 扩散炉 Bruce Horizontal Furnace 1985 缺备件不能调试,6" 作备件机 国外
Bruce Horizontal Furnace 扩散炉 Bruce Horizontal Furnace 扩散炉 Bruce Horizontal Furnace 1985 在购热机 6" P扩散;1000℃±1℃;250片/LOT 国外
THERMCO Horizontal Furnace 扩散炉 THERMCO Horizontal Furnace 扩散炉 THERMCO Horizontal Furnace 1990 在购热机 6" 栅氧化;1100℃±1℃;250片/LOT 国外
THERMCO Horizontal Furnace 扩散炉 THERMCO Horizontal Furnace 扩散炉 THERMCO Horizontal Furnace 1990 在购热机 6" 牺牲氧化;1100℃±1℃;250片/LOT 国外
THERMCO Horizontal Furnace 扩散炉 THERMCO Horizontal Furnace 扩散炉 THERMCO Horizontal Furnace 1990 在购热机 6" 垫氧化;1100℃±1℃;250片/LOT 国外
THERMCO Horizontal Furnace 扩散炉 THERMCO Horizontal Furnace 扩散炉 THERMCO Horizontal Furnace 1990 在购热机 6" 牺牲氧化;1100℃±1℃;250片/LOT 国外
THERMCO Horizontal Furnace 扩散炉 THERMCO Horizontal Furnace 扩散炉 THERMCO Horizontal Furnace 1993 在购热机 6" DRIVE工艺;1200℃±1℃;250片/LOT 国外
THERMCO Horizontal Furnace 扩散炉 THERMCO Horizontal Furnace 扩散炉 THERMCO Horizontal Furnace 1993 在购热机 6" 垫氧化;1100℃±1℃;250片/LOT 国外
THERMCO Horizontal Furnace 扩散炉 THERMCO Horizontal Furnace 扩散炉 THERMCO Horizontal Furnace 1993 在购热机 6" P扩散;1000℃±1℃;250片/LOT 国外
THERMCO Horizontal Furnace 扩散炉 THERMCO Horizontal Furnace 扩散炉 THERMCO Horizontal Furnace 1993 在购热机 6" P扩散;1000℃±1℃;250片/LOT 国外
TEL Horizontal Furnace扩散炉 TEL Horizontal Furnace扩散炉 TEL Horizontal Furnace 1989 6" 四管卧式常压氧化 国外
NIKON NSR 1505G6E光刻机 NIKON NSR 1505G6E光刻机 NIKON NSR-1505G6E - 6"步进式 国外
SVG MSX1000涂胶显影机 SVG MSX1000涂胶显影机 SVG MSX1000 2006 2" HMDS 国外
RIE CDE-7-3干法刻蚀机 RIE CDE-7-3干法刻蚀机 RIE CDE-7-3 1989 6" RIE刻蚀 国外
TEL TE5000干法刻蚀机 TEL TE5000干法刻蚀机 TEL TE5000 - 6" SIO2刻蚀机 国外
TEL VCF 615扩散炉 TEL VCF 615扩散炉 TEL VCF 615 - 6" 立式低压SIN CVD炉 国外
CANON MPA-600FA投影式光刻机 CANON MPA-600FA投影式光刻机 CANON MPA-600FA - 4-5-6" 国内
CANON MPA-600FA投影式光刻机 CANON MPA-600FA投影式光刻机 CANON MPA-600FA - 4-5-6" 国内
CANON MPA-600FA投影式光刻机 CANON MPA-600FA投影式光刻机 CANON MPA-600FA - 4-5-6" 国内
CANON MPA-600FA投影式光刻机 CANON MPA-600FA投影式光刻机 CANON MPA-600FA - 4-5-6" 国内
CANON MPA-600FA投影式光刻机 CANON MPA-600FA投影式光刻机 CANON MPA-600FA - 4-5-6" 国内
DAN SCOV-1900Hz DAN SCOV-1900Hz DAN SCOV-1900Hz - 5"在购热机 国内
DNS SDW-636-BV显影机 DNS SDW-636-BV显影机 DNS SDW-636-BV - 5"在购热机 皮带式轨道 国内
DNS SDW-636-CVP显影机 DNS SDW-636-CVP显影机 DNS SDW-636-CVP - 5"在购热机 皮带式轨道 国内
ASML TWINSCAN 1100光刻机 ASML TWINSCAN 1100光刻机 ASML TWINSCAN 1100 2002 新型320毫米/秒双级
最高的光学利用率
平行校准计量
国内
NIKON NSR S204B分步投影光刻机 NIKON NSR S204B分步投影光刻机 NIKON NSR-S204B 2000.11 分步投影光刻机 国外
TEL INDYPLUS-B-M TEL INDYPLUS-B-M TEL INDYPLUS-B-M 2013.06 300mm DIFF 国外
TEL INDYPLUS- TEL INDYPLUS- TEL INDYPLUS- - 300mm DIFF 国外
TEL ALPHA-303I-H TEL ALPHA-303I-H TEL ALPHA-303I-H 2001.07 300mm DIFF 国外
TEL ALPHA-303I-H TEL ALPHA-303I-H TEL ALPHA-303I-H 2006.11 300mm DIFF 国外
TEL ALPHA-303I-K TEL ALPHA-303I-K TEL ALPHA-303I-K 2005.1 300mm DIFF 国外
TEL ALPHA-303I-K TEL ALPHA-303I-K TEL ALPHA-303I-K 2005.11 300mm DIFF 国外
TEL FORMUAL-1S-H TEL FORMUAL-1S-H TEL FORMUAL-1S-H 2005.01 300mm DIFF 国外
KOKUSAI DD-1223V KOKUSAI DD-1223V KOKUSAI DD-1223V 2003.11 300mm H2 ANNEAL 国外
KOKUSAI DD-1223VN KOKUSAI DD-1223VN KOKUSAI DD-1223VN 2006.06 300mm BIO 国外
KOKUSAI DJ-1236V-DF KOKUSAI DJ-1236V-DF KOKUSAI DJ-1236V-DF - 300mm BIO 国外
KOKUSAI DJ-1206V-DF KOKUSAI DJ-1206V-DF KOKUSAI DJ-1206V-DF 2007.12 300mm HTO 国外
KOKUSAI DJ-1223VN KOKUSAI DJ-1223VN KOKUSAI DJ-1223VN 2015.03 300mm HTO 国外
KOKUSAI DJ-1206V KOKUSAI DJ-1206V KOKUSAI DJ-1206V 2008.04 300mm HTO 国外
KOKUSAI DJ-1206VN KOKUSAI DJ-1206VN KOKUSAI DJ-1206VN 2007.12 300mm HTO 国外
KOKUSAI DJ-1206VN-DF KOKUSAI DJ-1206VN-DF KOKUSAI DJ-1206VN-DF - 300mm ALD (SiCN) 国外
KOKUSAI DJ-1206VN-DF KOKUSAI DJ-1206VN-DF KOKUSAI DJ-1206VN-DF - 300mm ALD (SiO2) 国外
KOKUSAI DJ-1206VN-DF KOKUSAI DJ-1206VN-DF KOKUSAI DJ-1206VN-DF - 300mm ALD (SiRN) 国外
KOKUSAI DJ-1206VN-DF KOKUSAI DJ-1206VN-DF KOKUSAI DJ-1206VN-DF - 300mm Si3N4 国外
KOKUSAI DJ-1223VN KOKUSAI DJ-1223VN KOKUSAI DJ-1223VN 2006.03 300mm Si3N4 国外
KOKUSAI DJ-1223VN KOKUSAI DJ-1223VN KOKUSAI DJ-1223VN - 300mm Si3N4 国外
KOKUSAI DJ-1260VN-DF KOKUSAI DJ-1260VN-DF KOKUSAI DJ-1260VN-DF 2014.09 300mm Si3N4 国外
KOKUSAI DJ-1223VN KOKUSAI DJ-1223VN KOKUSAI DJ-1223VN 2012.02 300mm Si3N4 国外
KOKUSAI DJ-1223V KOKUSAI DJ-1223V KOKUSAI DJ-1223V 2001.07 300mm Si3N4 (THICK) 国外
KOKUSAI DJ-1206VN-DM KOKUSAI DJ-1206VN-DM KOKUSAI DJ-1206VN-DM 2006.11 300mm HQ-SiO2 (ALD) 国外
KOKUSAI DJ-1206VN KOKUSAI DJ-1206VN KOKUSAI DJ-1206VN 2006.04 300mm Zro/Al2O3 国外
KOKUSAI DJ-1236VN KOKUSAI DJ-1236VN KOKUSAI DJ-1236VN 2013 300mm D-POLY 国外
KOKUSAI DD-812V立式扩散炉 KOKUSAI DD-812V立式扩散炉 KOKUSAI DD-812V 2006 6" PYRO 国外
KOKUSAI DD-812V立式扩散炉 KOKUSAI DD-812V立式扩散炉 KOKUSAI DD-812V 2011 6" PYRO 国外
KOKUSAI DD-812V立式扩散炉 KOKUSAI DD-812V立式扩散炉 KOKUSAI DD-812V 2011 6" PYRO 国外
KOKUSAI DD-812V立式扩散炉 KOKUSAI DD-812V立式扩散炉 KOKUSAI DD-812V 2011 6" PYRO 国外
KOKUSAI DD-812V立式扩散炉 KOKUSAI DD-812V立式扩散炉 KOKUSAI DD-812V 2011 6" PYRO 国外
KOKUSAI DD-812V立式扩散炉 KOKUSAI DD-812V立式扩散炉 KOKUSAI DD-812V 2011 6" PYRO 国外
KOKUSAI DD-812V立式扩散炉 KOKUSAI DD-812V立式扩散炉 KOKUSAI DD-812V 2011 6" PYRO 国外
KOKUSAI DJ-833V立式扩散炉 KOKUSAI DJ-833V立式扩散炉 KOKUSAI DJ-833V 2008.03 8" WELL(PYRO)HCL 国外
KOKUSAI DJ-833V立式扩散炉 KOKUSAI DJ-833V立式扩散炉 KOKUSAI DJ-833V 2008.03 8" Poly-Si 国外
KOKUSAI DD-802V立式扩散炉 KOKUSAI DD-802V立式扩散炉 KOKUSAI DD-802V - 8" N2 ANNEL 国外
KOKUSAI DD-802V-A立式扩散炉 KOKUSAI DD-802V-A立式扩散炉 KOKUSAI DD-802V-A - 8" PYRO 国外
KOKUSAI DD-802V立式扩散炉 KOKUSAI DD-802V立式扩散炉 KOKUSAI DD-802V - 8" Poly-Si 国外
CANON PLA-501FA投影式光刻机 CANON PLA-501FA投影式光刻机 CANON PLA-501FA - 4",5" 5台 国内
CANON MPA500-FAb投影式光刻机 CANON MPA500-FAb投影式光刻机 CANON MPA500-FAb 6" 国内
CANON MPA-600FA投影式光刻机 CANON MPA-600FA投影式光刻机 CANON MPA-600FA - 6" 国内
CANON PE M600HT投影式光刻机 CANON PE M600HT投影式光刻机 CANON PE M600HT 6" 国内
NIKON NSR 1505G3A步进式光刻机 NIKON NSR 1505G3A步进式光刻机 NIKON NSR-1505G3A - 6" 国内
NIKON NSR 1505G4D步进式光刻机 NIKON NSR 1505G4D步进式光刻机 NIKON NSR-1505G4D 6" 国内
NIKON NSR l505G6D步进式光刻机 NIKON NSR l505G6D步进式光刻机 NIKON NSR-l505G6D - 6" 国内
NIKON NSR 1505i6A光刻机 NIKON NSR 1505i6A光刻机 NIKON NSR-1505i6A - 6" 国内
NIKON NSR 1505i7光刻机 NIKON NSR 1505i7光刻机 NIKON NSR-1505i7 - 6" 国内
NIKON NSR 2005i8光刻机 NIKON NSR 2005i8光刻机 NIKON NSR-2005i8 - 6" 步进式 国内
PEM-1000双面光刻机 PEM-1000双面光刻机 PEM-1000 PEM-1000 6" 国内
NIKON NSR 504光刻板清洗机 NIKON NSR 504光刻板清洗机 NIKON NSR-504 6" 国内
HITACHI SEM S-6000扫描电镜 HITACHI SEM S-6000扫描电镜 HITACHI SEM S-6000 6" 国内
HITACHI SEM S-6600扫描电镜 HITACHI SEM S-6600扫描电镜 HITACHI SEM S-6600 6" 国内
HITACHI SEM S-7000扫描电镜 HITACHI SEM S-7000扫描电镜 HITACHI SEM S-7000 6" 国内
HITACHI S-5000扫描电子显微镜 HITACHI S-5000扫描电子显微镜 HITACHI S-5000 - 6" 国内
HITACHI KLA8100扫描电镜 HITACHI KLA8100扫描电镜 HITACHI KLA8100 - 6" 已售出
KLA 2131缺陷检测设备 KLA 2131缺陷检测设备 KLA科磊 2131 - 6" 已售出
NISSIN NH-20SR离子注入机 NISSIN NH-20SR离子注入机 NISSIN日新 NH-20SR - 6" 国内
DF4中束流离子注入机 DF4中束流离子注入机 中束流 DF4 6" 国内
DF4中束流离子注入机 DF4中束流离子注入机 中束流 DF4 6" 国内
高能IH-860离子注入机 高能IH-860离子注入机 高能 IH-860 - 6" 国内
高能IX-1500离子注入机 高能IX-1500离子注入机 高能 IX-1500 - 6" 国内
Varian 120-10大束流离子注入机 Varian 120-10大束流离子注入机 Varian 120-10 - 6" 国内
Varian 8010大束流离子注入机 Varian 8010大束流离子注入机 Varian 8010 - 6" 国内
EATON NV-10SD-80大束流离子注入机 EATON NV-10SD-80大束流离子注入机 EATON NV-10SD-80 - 6" 国内
EATON NV-10SD-160大束流离子注入机 EATON NV-10SD-160大束流离子注入机 EATON NV-10SD-160 - 6" 国内
EATON NV-1080大束流离子注入机 EATON NV-1080大束流离子注入机 EATON NV-1080 - 6" 国内
EATON NV-GSD-80大束流离子注入机 EATON NV-GSD-80大束流离子注入机 EATON NV-GSD-80 - 6" 国内
Coater SC-W80A匀胶显影机 Coater SC-W80A匀胶显影机 匀胶显影机 Coater SC-W80A 6" 国内
Coater SCW-636-BV匀胶显影机 Coater SCW-636-BV匀胶显影机 coater Coater SCW-636-BV 6" 国内
developer SDW-636-CV匀胶显影机 developer SDW-636-CV匀胶显影机 developer developer SDW-636-CV 6" 国内
Coater/developer SKW-629 Coater/developer SKW-629 Coater/developer Coater/developer SKW-629 6" 国内
coater MK-II匀胶显影机 coater MK-II匀胶显影机 coater coater MK-II 6" 国内
developer MK-II匀胶显影机 developer MK-II匀胶显影机 developer developer MK-II 6" 国内
CANON CDS-630匀胶显影机 CANON CDS-630匀胶显影机 CANON CDS-630 - 国内
HITACHI M308刻蚀机 HITACHI M308刻蚀机 HITACHI M308 - 国内
HITACHI M318刻蚀机 HITACHI M318刻蚀机 HITACHI M318 - - 国内
RAM250刻蚀机 RAM250刻蚀机 刻蚀机 RAM250 - 国内
Tegal 901e等离子干法刻蚀机 Tegal 901e等离子干法刻蚀机 Tegal 901e - - 国内
Tegal 903e等离子干法刻蚀机 Tegal 903e等离子干法刻蚀机 Tegal 903e - - 国内
E630刻蚀机 E630刻蚀机 刻蚀机 E630 5" 国内
TEL TE5000刻蚀机 TEL TE5000刻蚀机 TEL TE5000 - 5" 国内
TEL TE480刻蚀机 TEL TE480刻蚀机 TEL TE480 - 5",6" 国内
TEL TE580刻蚀机 TEL TE580刻蚀机 TEL TE580 - 6" 国内
AMAT 8330刻蚀机 AMAT 8330刻蚀机 AMAT应用材料 AMAT 8330 6" 国内
AMAT 8110刻蚀机 AMAT 8110刻蚀机 AMAT应用材料 AMAT 8110 6" 国内
OAPM-400刻蚀机 OAPM-400刻蚀机 刻蚀机 OAPM-400 6" 国内
TEL TE8500刻蚀机 TEL TE8500刻蚀机 TEL TE8500 - 6" 国内
TCA-3822刻蚀机 TCA-3822刻蚀机 刻蚀机 TCA-3822 5" 国内
TSE-360刻蚀机 TSE-360刻蚀机 刻蚀机 TSE-360 6" 国内
DES-206-254AV去胶机 DES-206-254AV去胶机 去胶机 DES-206-254AV 6" 国内
TCA-2600去胶机 TCA-2600去胶机 去胶机 TCA-2600 6" 国内
TCA-3400去胶机 TCA-3400去胶机 去胶机 TCA-3400 6" 国内
OPM-1200去胶机 OPM-1200去胶机 去胶机 OPM-1200 4" 国内
S4150-21220去胶机 S4150-21220去胶机 去胶机 S4150-21220 5" 国内
S3150去胶机 S3150去胶机 去胶机 S3150 6" 国内
PEL516去胶机 PEL516去胶机 去胶机 PEL516 6" 国内
ANELVA ILC-1012MKII溅射台 ANELVA ILC-1012MKII溅射台 ANELVA ILC-1012MKII - 6" 国内
ANELVA I-1060 SV PVD溅射台 ANELVA I-1060 SV PVD溅射台 ANELVA I-1060 SV 6" 国内
ANELVA ILC-1013溅射台 ANELVA ILC-1013溅射台 ANELVA ILC-1013 4",6" 国内
ANELVA ILC-1015溅射台 ANELVA ILC-1015溅射台 ANELVA ILC-1051 - 6" 国内
MRC603溅射台 MRC603溅射台 溅射台 MRC603 5" 国内
MCH-9000溅射台 MCH-9000溅射台 溅射台 MCH-9000 4",6" 国内
PE2400溅射台 PE2400溅射台 溅射台 PE2400 6" 国内
PE4400溅射台 PE4400溅射台 溅射台 PE4400 - 国内
SLRK-S131溅射台 SLRK-S131溅射台 溅射台 SLRK-S131 - 国内
EVA-500蒸发台 EVA-500蒸发台 蒸发台 EVA-500 - 国内
SM-4804蒸发台 SM-4804蒸发台 蒸发台 SM-4804 - 国内
SEC-4804蒸发台 SEC-4804蒸发台 蒸发台 SEC-4804 - 国内
EVC-1701蒸发台 EVC-1701蒸发台 蒸发台 EVC-1701 5" 国内
EX650蒸发台 EX650蒸发台 蒸发台 EX650 4",5",6" 国内
横式炉 XL-7扩散炉 横式炉 XL-7扩散炉 扩散炉 横式炉XL-7 4",5",6" 国内
横式炉 XL-8扩散炉 横式炉 XL-8扩散炉 扩散炉 横式炉XL-8 6" 国内
横式炉 DL-8P扩散炉 横式炉 DL-8P扩散炉 扩散炉 横式炉DL-8P 4" 国内
横式炉 DD7400扩散炉 横式炉 DD7400扩散炉 扩散炉 横式炉DD7400 6" 国内
横式炉 UX-1080扩散炉 横式炉 UX-1080扩散炉 扩散炉 横式炉UX-1080 5" 国内
横式炉 855扩散炉 横式炉 855扩散炉 扩散炉 横式炉855 6" 国内
KOKUSAI DD-802V立式扩散炉 KOKUSAI DD-802V立式扩散炉 KOKUSAI DD-802V - 4",5" 国内
竖式炉 DJ-813扩散炉 竖式炉 DJ-813扩散炉 扩散炉 竖式炉DJ-813 - 国内
竖式炉 DJ-805扩散炉 竖式炉 DJ-805扩散炉 扩散炉 竖式炉DJ-805 - 国内
竖式炉 VF-5100扩散炉 竖式炉 VF-5100扩散炉 扩散炉 竖式炉VF-5100 - 国内
AG4100快速退火炉 AG4100快速退火炉 AG快速退火炉 AG4100 - 4" 已售出
CONCEPT ONE(200) CONCEPT ONE(200) CVD CONCEPT ONE(200) - 国内
CONCEPT ONE(150) CONCEPT ONE(150) CVD CONCEPT ONE(150) - 国内
KOKUSAI DJ-802V立式扩散炉 KOKUSAI DJ-802V立式扩散炉 KOKUSAI DJ-802V - LPCVD 国内
APCVD AMS-2100 APCVD AMS-2100 CVD APCVD AMS-2100 - 国内
UL-8 横式 LP-CVD UL-8 横式 LP-CVD CVD UL-8 横式 LP-CVD - 国内
AP-CVD-2800 AP-CVD-2800 CVD AP-CVD-2800 6" 国内
WJ-999 WJ-999 - WJ-999 5" 国内
VDS-5600 VDS-5600 - VDS-5600 5" 国内
VDS-5000 VDS-5000 - VDS-5000 - 6" 有3台; 国内
VCF-615S VCF-615S - VCF-615S 5" 国内
抛光机 抛光机 - 36SPAN 5" 国内
减薄机 减薄机 - DFG-28I/F 6" 国内
减薄机 减薄机 - SVG-502 6" 国内
TEL 19S探针台 TEL 19S探针台 TEL TEL 19S 6" 国内
多晶硅铸造炉 多晶硅铸造炉 - SUC400 Plus 6" 国内
AMAT AMC 7811 RTP外延炉 AMAT AMC 7811 RTP外延炉 AMAT AMC-7811 - 6"有8台 国内
AMAT 7700外延炉 AMAT 7700外延炉 AMAT应用材料 7700 - 6" 国内
EGV-28GX外延炉 EGV-28GX外延炉 外延炉 EGV-28GX 6" 国内
EGV-28FM外延炉 EGV-28FM外延炉 外延炉 EGV-28FM 5" 国内
PEM-500双面光刻机 PEM-500双面光刻机 PEM-500 PEM-500 6" 国内
NIKON NSR 1505G6D步进光刻机 NIKON NSR 1505G6D步进光刻机 NIKON NSR-1505G6D 6" 国内
涂胶机6 涂胶机6" - SCW-629 6" 国内
显影机6 显影机6" - SDW-629 5",6" 国内
深槽刻蚀机6 深槽刻蚀机6" - AMS 200 5",6" 国内
湿法刻蚀机6 湿法刻蚀机6" - AMS-2003SE 8" 国内
刻蚀机6 刻蚀机6" - PE8330A 5" 国内
刻蚀机6 刻蚀机6" - PE8330 6" 国内
TEL TE8500刻蚀机 TEL TE8500刻蚀机 TEL TE8500 - 6" 国内
刻蚀机6 刻蚀机6" - A601E 6" 国内
刻蚀机6 刻蚀机6" - E18 5" 国内
去胶机6 去胶机6" - DES-212-304AVLIII 5" 国内
去胶机6 去胶机6" - TCA-3822 6" 国内
ANELVA ILC-1015溅射台 ANELVA ILC-1015溅射台 ANELVA ILC-1051 - 6" 国内
Novellus Concept-1 PECVD 6 Novellus Concept-1 PECVD 6 Novellus CONCEPT-1 6" 国内
Novellus Concept-1 PECVD 6 Novellus Concept-1 PECVD 6 Novellus CONCEPT-1 6" 国内
Novellus Concept-1 PECVD 6 Novellus Concept-1 PECVD 6 Novellus CONCEPT-1 6" 国内
Novellus Concept-1 PECVD 6 Novellus Concept-1 PECVD 6 Novellus CONCEPT-1 6" 国内
甩干机 6 甩干机 6 - - - 有1台; 已售出
甩干机 6 甩干机 6 - - - 4" 有1台; 已售出
甩干机 6 甩干机 6 - - - 6" 有1台; 已售出
甩干机 6 甩干机 6 - - - 6" 已售出
甩干机 6 甩干机 6 - - - 5" 有1台 已售出
甩干机 6 甩干机 6 - - - 有2台; 已售出
清洗机 6 清洗机 6 - - - 有1台; 已售出
烘箱 6 烘箱 6 - - - 5" 有2台; 国内
非接触 C-V 测定仪 6 非接触 C-V 测定仪 6 - CV-8000 5" 国内
红外线膜厚测试仪 6 红外线膜厚测试仪 6 - FTS-40FIR 3",5" 国内
红外线膜厚测试仪 6 红外线膜厚测试仪 6 - QS-300 6" 国内
LP-CVD 6 LP-CVD 6 - DJ-813V 立式 LP-CVD 6" 国内
LP-CVD 6 LP-CVD 6" - DJ-813V-6B 立式 LP-CVD 4" 国内
KOKUSAI DD-802V立式扩散炉 KOKUSAI DD-802V立式扩散炉 KOKUSAI DD-802V - 4",5" 国内
Mattson AST2800退火炉 Mattson AST2800退火炉 Mattson AST2800 - 6" 已售出
200SJ离子注入机 200SJ离子注入机 200SJ 200SJ - 5" 国内
Denton磁控 Denton磁控 Denton - - - 国外
DISCO DAD3220划片机 DISCO DAD3220划片机 DISCO DAD3220 2016 Dicing saw, 8"
Spindle, 2"
NCS
国外
DISCO DAD321/341划片机 DISCO DAD321/341划片机 DISCO DAD321/341 - 4台打包出售(2个型号各2台) 国外
DISCO DFG840减薄机 DISCO DFG840减薄机 DISCO DFG 840 - 设备完整不缺件,线上热机,; 已售出
SHIMADZU ALLS-100X-33CⅡ液晶注入装置 SHIMADZU ALLS-100X-33CⅡ液晶注入装置 SHIMADZU ALLS-100X-33CⅡ 2005 制造商:SHIMADZU
型号:ALIS-100X-33CI
国外
DISCO DFG840减薄机 DISCO DFG840减薄机 DISCO DFG 840 - 亚洲线上热机; 已售出
AMAT MATERIALS CENTURA ENABLER AMAT MATERIALS CENTURA ENABLER AMAT应用材料 MATERIALS CENTURA ENABLER 2008 System
AC Rack
Monitor
M
国外
CANON FPA 5510 iX Stepper i-Line stepper, 12" CANON FPA 5510 iX Stepper i-Line stepper CANON FPA 5510 iX Stepper i-Line ste 2014 线上热机 国外
AMAT MATERIALS Centura HTF EPI System AMAT MATERIALS Centura HTF EPI System AMAT应用材料 MATERIALS Centura HTF EPI Syst - 美国已下线 国外
HITACHI S-8840扫描电子显微镜 HITACHI S-8840扫描电子显微镜 HITACHI S-8840 1997 欧洲线上热机; 已售出
AMAT P5000刻蚀机 AMAT P5000刻蚀机 AMAT应用材料 P5000 - 8'' CVD 国外
Varian 120XP大束流离子注入机 Varian 120XP大束流离子注入机 Varian 120XP - 6"大束流 国外
NIKON NSR 2005i8A光刻机 NIKON NSR 2005i8A光刻机 NIKON NSR-2005i8A - - 国外
NIKON NSR 2205i12C光刻机 NIKON NSR 2205i12C光刻机 NIKON NSR-2205i12C - 尼康NSR 2205 i12C i-Line步进,6" 国外
DISCO DAD651切割机 DISCO DAD651切割机 DISCO DAD651 2002 Dicing saw
Dual spindle
2001-20
国外
Aixtron Crius II MOCVD设备 Aixtron Crius II MOCVD设备 Aixtron Crius II - 55片x2英寸
13片x4英寸
5片x6英寸
国内
ULVAC W300 EX多腔溅射设备 ULVAC W300 EX多腔溅射设备 ULVAC爱发科 W300 EX - As-is Multi-ch(8ch)Sputtering 国外
AMAT Producer-GT CVD AMAT Producer-GT CVD AMAT应用材料 Producer-GT CVD - As-is 国外
TEL MARK-VZ涂胶显影机 TEL MARK-VZ涂胶显影机 TEL MARK-VZ - As-is 2C2D 国外
AMSL XT760F KrF Scanner AMSL XT760F KrF Scanner AMSL XT760F KrF Scanner - As-is 国外
AMAT mirra MESA CMP AMAT mirra MESA CMP AMAT应用材料 mirra MESA CMP - FULL REPUB 国外
EBARA EPO 222 CMP EBARA EPO 222 CMP EBARA EPO-222 CMP - As-is 国外
Oxford Plasmalab System 100 RIE+PECVD Oxford Plasmalab System 100 RIE+PECVD OXFORD牛津 Plasmalab System 100 RIE+PECVD - As-is 国外
DNS SU3200 DNS SU3200 DNS SU3200 - FULL REPUB 国外
DNS SK3000 DNS SK3000 DNS SK3000 - As-is 国外
LAM RAINBOW 4420等离子刻蚀机 LAM RAINBOW 4420等离子刻蚀机 LAM泛林 RAINBOW 4420 - 6" As-is 国外
USHIO UX-4440 Aligner USHIO UX-4440 Aligner USHIO UX-4440 Aligner - As-is 国外
CHA Revolution CHA Revolution CHA Revolution - As-is 国外
ULVAC EI-7K蒸发台 ULVAC EI-7K蒸发台 ULVAC爱发科 EI-7K - As-is 国外
ULVAC EI-5K蒸发台 ULVAC EI-5K蒸发台 ULVAC爱发科 EI-5K - As-is 国外
Plasma Plasma "Therm Versaline ICP-RIE #2 PSS I Plasma Therm Versaline ICP-RIE #2 PSS - 6 As-is 国外
ULVAC Chamber(5CH) ULVAC Chamber(5CH) ULVAC爱发科 Chamber(5CH) - 12 As-is 国外
EBARA F-REX300S CMP EBARA F-REX300S CMP EBARA F-REX300S CMP - 12" 国外
AMAT P5000刻蚀机 AMAT P5000刻蚀机 AMAT应用材料 P5000 - 8 REFURB 国外
Maxis 300LA ICP Maxis 300LA ICP Maxis 300LA ICP - 12 As-is 国外
Nippon Sanso Nippon Sanso Nippon Sanso - 6 As-is 国外
Aixtron Crius II MOCVD设备 Aixtron Crius II MOCVD设备 Aixtron Crius II - As-is 国外
DISCO DFD641划片机 DISCO DFD641划片机 DISCO DFD641 - 8 Working 国外
Oxford Plasma Pro NGP1000 Oxford Plasma Pro NGP1000 OXFORD牛津 Plasma Pro NGP1000 - 12" As-is 国外
AMAT P5000刻蚀机 AMAT P5000刻蚀机 AMAT应用材料 P5000 - FULL REPUB 国外
TEL P-12XL Probe TEL P-12XL Probe TEL P-12XL Probe - 12" As-is 国外
HITACHI HL7800M透射电镜 HITACHI HL7800M透射电镜 HITACHI HL7800M - As-is 国外
HITACHI HL8000M HITACHI HL8000M HITACHI HL8000M - As-is 国外
HITACHI S4800 II扫描电子显微镜 HITACHI S4800 II扫描电子显微镜 HITACHI S4800 II - 8 working 国外
HITACHI S4700 II扫描电子显微镜 HITACHI S4700 II扫描电子显微镜 HITACHI S-4700 II - 8 FULL REPUB 国外
HITACHI S-4700 I扫描电子显微镜 HITACHI S-4700 I扫描电子显微镜 HITACHI FESEM S4700 I - 8 Working 国外
HITACHI S-9260A扫描电子显微镜 HITACHI S-9260A扫描电子显微镜 HITACHI S-9260A - 8 FULL REPUB 国外
HITACHI S-9260A扫描电子显微镜 HITACHI S-9260A扫描电子显微镜 HITACHI S-9260A - 8 As-is 国外
TEL ACT12  Single Block TEL ACT12 Single Block TEL ACT12 Single Block - 12 As-is 国外
TEL  ACT8 2C4D, Double Block TEL ACT8 2C4D, Double Block TEL ACT8 2C4D,Double Block - 8 working 国外
NIKON NSR SF200光刻机 NIKON NSR SF200光刻机 NIKON NSR-SF200 - 6, 8 Initial Condition 国外
NIKON NSR 207D光刻机 NIKON NSR 207D光刻机 NIKON NSR-207D - 6, 8 working 国外
NIKON NSR 2205i12D光刻机 NIKON NSR 2205i12D光刻机 NIKON NSR-2205i12D - 6-12" Initial Condition 国外
NIKON NSR 2205i9C光刻机 NIKON NSR 2205i9C光刻机 NIKON NSR-2205i9C - 6 Working 国外
NIKON NSR 1505G7E光刻机 NIKON NSR 1505G7E光刻机 NIKON NSR-1505G7E - 6 Working 国外
NIKON NSR SF130光刻机 NIKON NSR SF130光刻机 NIKON NSR-SF130 - 12 REFURB 国外
AMAT Amat Centura2 DSP AMAT Amat Centura2 DSP AMAT应用材料 Amat Centura2 DSP - 8 As-is 国外
AMAT Centura Ultima HDP AMAT Centura Ultima HDP AMAT应用材料 Centura Ultima HDP - 8 As-is 国外
AMAT Centura Ultima AMAT Centura Ultima AMAT应用材料 Centura Ultima - 8 As-is 国外
AMAT Centura2 DPS+ Poly Etch AMAT Centura2 DPS+ Poly Etch AMAT应用材料 Centura2 DPS+ Poly Etch - 8 As-is 国外
Aixtron Aix 2800G4 TM MOCVD设备 Aixtron Aix 2800G4 TM MOCVD设备 Aixtron Aix 2800G4 TM - 4 working 国外
EVG 510 Wafer bonder EVG 510 Wafer bonder EVG 510 Wafer bonder - 4-6 working 国外
AST PEVA-600I AST PEVA-600I AST PEVA-600I - 4-6 working 国外
TEAMs ITO TEAMs ITO TEAMs ITO - 4-6 working 国外
Aixtron Aix200 MOCVD设备 Aixtron Aix200 MOCVD设备 Aixtron Aix200 - 2 1998, R&D 国外
TEL ALPHA 8S TEL ALPHA 8S TEL ALPHA 8S - 8 As-is 国外
Hamai Hamai Hamai - - 12 As-is 国外
Peter Wolters AC-1500P Peter Wolters AC-1500P Peter Wolters AC-1500P - 12 Overhaul Condition 国外
BBS E-450 Prime BBS E-450 Prime BBS E-450 Prime - 8 As-is 国外
LEICA INM300 LEICA INM300 LEICA INM300 - 8 As-is 国外
KLA P-15单向节流阀 KLA P-15单向节流阀 KLA科磊 P-15 - 8 As-is 国外
KLA PHX DF 5.0 KLA PHX DF 5.0 KLA科磊 PHX DF 5.0 - 8 As-is 国外
KLA Ultrascan 9300 KLA Ultrascan 9300 KLA科磊 Ultrascan 9300 - 8 As-is 国外
KLA Ultrascan 9000光测量系统 KLA Ultrascan 9000光测量系统 KLA科磊 Ultrascan 9000 - 8 As-is 国外
KLA AFS-3220 KLA AFS-3220 KLA科磊 AFS-3220 - 8 As-is 国外
KLA Surfscan SP3晶圆缺陷检测 KLA Surfscan SP3晶圆缺陷检测 KLA科磊 SP3 - 6-12 As-is 已售出
KLA Surfscan SP1 DLS晶圆检测仪 KLA Surfscan SP1 DLS晶圆检测仪 KLA科磊 SP1-DLS - 6-12 As-is 国外
HITACHI RS-5500扫描电子显微镜 HITACHI RS-5500扫描电子显微镜 HITACHI RS-5500 - 8 Initial Condition 国外
TEL ACT12 TEL ACT12 TEL ACT12 - 12 Parts MC 国外
NIKON NSR 4425光刻机 NIKON NSR 4425光刻机 NIKON NSR-4425 - 6,9 Initializing 国外
NIKON NSR 2205i11D光刻机 NIKON NSR 2205i11D光刻机 NIKON NSR-2205i11D - 6,8 Initializing 国外
NIKON NSR S204B分步投影光刻机 NIKON NSR S204B分步投影光刻机 NIKON NSR-S204B - - 国内
NIKON NSR S203B光刻机 NIKON NSR S203B光刻机 NIKON NSR-S203B - 6,8 Initial Condition 国外
TEL MARK7涂胶显影机 TEL MARK7涂胶显影机 TEL MARK7 - 6 As-is 1C2D 国外
AMAT P5000 PLIS刻蚀机 AMAT P5000 PLIS刻蚀机 AMAT应用材料 P5000 PLIS - 翻新机Standard TEOS USG x3 Chamber 国外
DNS SK80A 2C 1D DNS SK80A 2C 1D DNS SK80A 2C 1D - 8 REFURB 国外
DNS SK80BW 3C3D DNS SK80BW 3C3D DNS SK80BW 3C3D - 8 As-is 国外
TEL 8S-E POLC3 TEL 8S-E POLC3 TEL 8S-E POLC3 - 6,8 working 国外
AXCELIS NV-GSD-80 AXCELIS NV-GSD-80 AXCELIS NV-GSD-80 - 6,8 REFURB 国外
NIKON NSR SF130光刻机 NIKON NSR SF130光刻机 NIKON NSR-SF130 2004 韩国入库,以packing状态保管在洁净室中
setting好&
国外
NIKON NSR S204B分步投影光刻机 NIKON NSR S204B分步投影光刻机 NIKON NSR-S204B - 有2台已翻新+安装调试+质保
在韩国
国外
HITACHI S-4700扫描电子显微镜 HITACHI S-4700扫描电子显微镜 HITACHI S-4700 2001 - 国外
DISCO DFL7340全自动激光切割机 DISCO DFL7340全自动激光切割机 DISCO DFL7340 2010/11 有2台; 已售出
DISCO DFD6360切割机 DISCO DFD6360切割机 DISCO DFD6360 2005 - 国外
Besi Molding设备Fico AMSW40306 Besi Molding设备Fico AMSW40306 Besi Molding Fico AMSW40306 2010 - 国外
MKS / ASTEX AX 8200A MKS / ASTEX AX 8200A MKS ASTEX AX 8200A - 5台打包卖 国外
LAM RESEARCH 2300多晶硅蚀刻机 LAM RESEARCH 2300多晶硅蚀刻机 LAM泛林 2300 2005 missing parts缺件:
Load port 1 mis
已售出
DISCO DFG840减薄机 DISCO DFG840减薄机 DISCO DFG840 1997 - 国外
NIKON NSR 2205i11C光刻机 NIKON NSR 2205i11C光刻机 NIKON NSR-2205i11C 1995 Stepper, 6"
Type II wafer loader
国外
AMAT PRODUCER GT化学气相沉积 AMAT PRODUCER GT化学气相沉积 AMAT应用材料 PRODUCER GT 2007 晶圆尺寸:300mm
生产者 GT: 配置
FI:5.4
国外
AMAT PRODUCER GT化学气相沉积 AMAT PRODUCER GT化学气相沉积 AMAT应用材料 PRODUCER GT 2008 晶圆尺寸:300mm
生产者GT:配置FI
类型:SFEM(
国外
DNS SS-3000AR DNS SS-3000AR DNS SS-3000AR 2007 - 国外
NIKON NSR S204B分步投影光刻机 NIKON NSR S204B分步投影光刻机 NIKON NSR-S204B 1999 - 国外
AMAT P5000刻蚀机 AMAT P5000刻蚀机 AMAT应用材料 P5000 - 2CH / 3CH 国外
NIKON NSR SF130光刻机 NIKON NSR SF130光刻机 NIKON NSR-SF130 2005 - 已售出
NIKON NSR S205C光刻机 NIKON NSR S205C光刻机 NIKON NSR-S205C - - 国外
CANON FPA6000es5步进式光刻机 CANON FPA6000es5步进式光刻机 CANON FPA6000es5 2003 - 国外
OXFORD Plasmalab 800 Plus OXFORD Plasmalab 800 Plus OXFORD牛津 Plasmalab 800 Plus - PECVD System 国外
OXFORD Plasmalab 80 Plus OXFORD Plasmalab 80 Plus OXFORD牛津 Plasmalab 80 Plus - RIE System 国外
DISCO DFD6360切割机 DISCO DFD6360切割机 DISCO DFD6360 2005 - 国外
KLA ACROTEC6020 KLA ACROTEC6020 KLA科磊 ACROTEC 6020 - Inspection system/PC/HDD. 国外
HITACHI S-5200扫描电子显微镜(SEM) HITACHI S-5200扫描电子显微镜(SEM) HITACHI S-5200 - - 国外
HITACHI S-4500扫描电子显微镜 HITACHI S-4500扫描电子显微镜 HITACHI S-4500 1995 FE-SEM 国外
HITACHI S-8820扫描电子显微镜(CD-SEM) HITACHI S-8820扫描电子显微镜(CD-SEM) HITACHI S-8820 6寸 Automatic dry-clean evacuation
8
国外
DISCO DFD6750全自动切割机 DISCO DFD6750全自动切割机 DISCO DFD6750 2012 1、高生产效率的精密切割用切割引擎:对应其他尺寸加工物、对向式双主 国外
KARL SUSS  MA6单/双面光刻机 KARL SUSS MA6单/双面光刻机 KARL SUSS MA/BA6 - 已翻新好,单/双面光刻机. 国内
TOK TCE-3822蚀刻设备 TOK TCE-3822蚀刻设备 TOK TCE-3822 2011 电源:三相 200V 125A 60Hz
1/2:蚀刻设备主体
国外
LAM RESEARCH 9400 SE等离子刻蚀机 LAM RESEARCH 9400 SE等离子刻蚀机 LAM泛林 9400 SE 1997 整机完整不缺件 国外
Origin Origin JPF-3A Origin Origin JPF-3A Origin Origin JPF-3A 2003 Cap sealing 国外
KLA Tencor UV-1280SE薄膜测量系统 KLA Tencor UV-1280SE薄膜测量系统 KLA科磊 UV1280SE 2000 Film Thickness Measurement 国外
KLA Filmetrics F20薄膜测厚仪 KLA Filmetrics F20薄膜测厚仪 KLA科磊 F20 2021 Thickness Measurement 国外
Olympus STM-6 Olympus STM-6 Olympus STM-6 Microscope 国外
TEL INDY Plus-B-M 12 TEL INDY Plus-B-M 12" TEL INDY Plus-B-M 2010 Furance 国外
TEL TE8500刻蚀机 TEL TE8500刻蚀机 TEL TE8500P - 6" Dry Etch 国外
DNS SK-200W-AVP(2C2D) 6'' DNS SK-200W-AVP(2C2D) 6'' DNS SK-200W-AVP(2C2D) 1998 Track 国外
ULVAC V8-100 ULVAC V8-100 ULVAC爱发科 V8-100 1998-2000 6'Furance 国外
ADE 9500 ADE 9500 ADE 9500 - Multifunctional measurement 国外
AMAT Vera SEM 3D测量系统 AMAT Vera SEM 3D测量系统 AMAT应用材料 Vera SEM 3D - Metrology 国外
AMAT Vera SEM 3D测量系统 AMAT Vera SEM 3D测量系统 AMAT应用材料 Vera SEM 3D - Metrology 国外
TEL Telius SP 12 TEL Telius SP 12" TEL Telius SP - Dry Etch 国外
TEL SCCM TE 12 TEL SCCM TE 12" TEL SCCM TE - Dry Etch 国外
DISCO DFL7160激光切割机 DISCO DFL7160激光切割机 DISCO DFL7160 2012 Laser saw 国外
Oxford PlasmaLab 80 Plus Oxford PlasmaLab 80 Plus OXFORD牛津 PlasmaLab 80 Plus - PECVD 国外
EBARA EPO 222T CMP EBARA EPO 222T CMP EBARA EPO-222T 2004 12" 国内
ASML AT1150C光刻机 ASML AT1150C光刻机 ASML AT-1150C 2004 Stepper 12" 国内
LTX CREDENCE Fusion CX LTX CREDENCE Fusion CX LTX CREDENCE Fusion CX - Mixed signal tester 国外
Rudolph NSX-105 (Parts machine) 8 Rudolph NSX-105 (Parts machine) 8 Rudolph NSX-105 (Parts machine) 2008 Inspection 国外
TEL TE-8401 8 TEL TE-8401 8" TEL TE-8401 1996 Dry Etcher 国外
Rudolph AXI-935晶圆检测仪 Rudolph AXI-935晶圆检测仪 Rudolph AXI-935 2007 12寸,设备在台湾. 国内
KLA-Tencor M-Gage 300 KLA-Tencor M-Gage 300 KLA科磊 M-Gage 300 2001 Al Thickness measurement 8寸 国外
CANON-ANELVA ILD-4100SR 8 CANON-ANELVA ILD-4100SR 8 CANON ILD-4100SR 1996 OX Dry Etcher 国外
Rudolph FE-Ⅶ Rudolph FE-Ⅶ Rudolph FE-Ⅶ 2002 Thickness Measurement 国外
Rudolph FE-Ⅳ Rudolph FE-Ⅳ Rudolph FE-Ⅳ 1995 Thickness Measurement 国外
NIKON NSR S204B(激光器是giga) NIKON NSR S204B(激光器是giga) NIKON NSR-S204B - Manufacturer : NIKON
Inline_Co
国外
NIKON NSR 2205i11D光刻机 NIKON NSR 2205i11D光刻机 NIKON NSR-2205i11D 1997.1 木制包装和运输由买方承担
发货后 90 天零件保修
国外
NIKON NSR 2205i14E2光刻机 NIKON NSR 2205i14E2光刻机 NIKON NSR-2205i14E2 - 8英寸晶圆,没有任何缺件,正常工作的设备. 已售出
KLA Surfscan SP1 TBI晶圆检测系统 KLA Surfscan SP1 TBI晶圆检测系统 KLA科磊 SP1-TBI - 有两台 国外
Aixtron Aix 2600G3 HT MOCVD设备 Aixtron Aix 2600G3 HT MOCVD设备 Aixtron Aix 2600G3 HT 2006 The owner of this Aixtron 2600G3 国外
DNS SU3200 DNS SU3200 DNS SU3200 2014 1)1)DNS SU3200 Scrubber 1set
国外
TEL MARK7涂胶显影机 TEL MARK7涂胶显影机 TEL MARK7 - 2C 3D生产线工作条件 国外
TEL MARK8涂胶显影机 TEL MARK8涂胶显影机 TEL MARK8 - 1C 2D原样仓库 国外
NIKON NSR 2205i11D光刻机 NIKON NSR 2205i11D光刻机 NIKON NSR-2205i11D - 生产线工作条件 国外
ACCRETECH AWD-100A ACCRETECH AWD-100A ACCRETECH东京精密 AWD-100A 2001 2001年 8" 国外
DNS SU3100清洗设备 DNS SU3100清洗设备 DNS SU-3100 - 无HDD系统 国外
DISCO DFD6360切割机 DISCO DFD6360切割机 DISCO DFD6360 2004 有2台可竞价 国外
Varian E500 EHP离子注入机 Varian E500 EHP离子注入机 Varian E500 EHP 2000.10 1、维护良好,位于欧洲
2、安装在洁净室,准备拆卸
3、按“原
国外
HITACHI S-4700扫描电子显微镜 HITACHI S-4700扫描电子显微镜 HITACHI S-4700 - 扫描电子显微镜(SEM),传输板不起作用. 国外
TEL LITHIUS清洗设备 TEL LITHIUS清洗设备 TEL LITHIUS 2003.12 韩国价 国外
DNS SU-3000清洗设备 DNS SU-3000清洗设备 DNS SU-3000 2006.10 有12个部分详细照片(含到国内价格) 国外
LTX CREDENCE KALOS XW内存测试仪 LTX CREDENCE KALOS XW内存测试仪 LTX CREDENCE KALOS XW 2004 Credence KALOS XW 20台 国外
AMAT P5000刻蚀机 AMAT P5000刻蚀机 AMAT应用材料 P5000 1995 2 chambers CVD
3 chambers CVD
国外
TEL SP-308蚀刻去胶设备 TEL SP-308蚀刻去胶设备 TEL SP-308 2007 按现状,有3台 国外
DISCO DFL7340全自动激光切割机 DISCO DFL7340全自动激光切割机 DISCO DFL7340 2010 Laser dicing saw 2010 vintage. 国外
Aixtron Aix 2600G3 HT MOCVD设备 Aixtron Aix 2600G3 HT MOCVD设备 Aixtron Aix 2600G3 HT 2006 III-N Process
Planetary reactor
国外
DISCO DTU1531冷水机 DISCO DTU1531冷水机 DISCO DTU1531 2005/2006 有2台 国外
ACCRETECH TSK 300TX探针台 ACCRETECH TSK 300TX探针台 ACCRETECH东京精密 300TX 2010 - 国外
ACCRETECH TSK 3000T探针台 ACCRETECH TSK 3000T探针台 ACCRETECH东京精密 3000T 2012 韩国 国外
ULVAC SRH-420Z溅射台 ULVAC SRH-420Z溅射台 ULVAC爱发科 SRH-420Z - - 国外
ULVAC SRH-420Z溅射台 ULVAC SRH-420Z溅射台 ULVAC爱发科 SRH-420Z - - 国外
AMAT Centura 5200刻蚀机 AMAT Centura 5200刻蚀机 AMAT Centura 5200 - CVD System,6"(3)Chambers. 国外
AMAT APPLIED MATERIALS Centura AP Minos Polysili AMAT APPLIED MATERIALS Centura AP Minos AMAT应用材料 Centura AP Minos Polysili - - 国内
NIKON NSR 1505G7E光刻机 NIKON NSR 1505G7E光刻机 NIKON NSR-1505G7E 1990 NIKON NSR 1505 G7E Stepper, 6"
R
国外
DISCO DFD6360切割机 DISCO DFD6360切割机 DISCO DFD6360 2004 Dicing saw, 12" 已售出
NIKON NSR 2205i12C光刻机 NIKON NSR 2205i12C光刻机 NIKON NSR-2205i12C 1997 6"/150mm 翻新 国内
KLA Surfscan SP1 TBI晶圆检测系统 KLA Surfscan SP1 TBI晶圆检测系统 KLA科磊 SP1-TBI 2000 Kla-tencor One Technology Driveu 已售出
自动撕金机(剥离机) 自动撕金机(剥离机) ASAP公司 LOA24-900-10 2012-11-01 260台设备可打包或单独出售 国内
CPM有机清洗机 CPM有机清洗机 新耘实业股份有限公司 SB-155S 2014-11-01 260台设备可打包或单独出售 国内
显影机 显影机 CND PLUS CO.,LTD David 2010-08-01 260台设备可打包或单独出售 国内
匀胶机 匀胶机 CND PLUS CO.,LTD David 2010-08-01 260台设备可打包或单独出售 国内
氧气柜 氧气柜 合肥真萍电子科技 2011-08-01 260台设备可打包或单独出售 国内
氧气柜 氧气柜 上海源拓净化设备 2011-08-01 260台设备可打包或单独出售 国内
氧气柜 氧气柜 上海源拓净化设备 2011-08-01 260台设备可打包或单独出售 国内
氧气柜 氧气柜 上海源拓净化设备 2011-08-01 260台设备可打包或单独出售 国内
氧气柜 氧气柜 上海源拓净化设备 2011-08-01 260台设备可打包或单独出售 国内
氧气柜 氧气柜 上海源拓净化设备 2011-08-01 260台设备可打包或单独出售 国内
氧气柜 氧气柜 上海源拓净化设备 2011-08-01 260台设备可打包或单独出售 国内
OLYMPUS SZ51显微镜 OLYMPUS SZ51显微镜 OLYMPUS奥林巴斯 SZ51 2015-03-28 260台设备可打包或单独出售 国内
OLYMPUS SZ51显微镜 OLYMPUS SZ51显微镜 OLYMPUS奥林巴斯 SZ51 2009-08-01 260台设备可打包或单独出售 国内
氧气柜 氧气柜 上海源拓净化设备 2011-08-01 260台设备可打包或单独出售 国内
电热鼓风烤箱 电热鼓风烤箱 JS-DHK9203 2018-07-04 260台设备可打包或单独出售 国内
防震台 防震台 上海微 2019-04-30 260台设备可打包或单独出售 国内
深圳锐拓设备一批 深圳锐拓设备一批 2016-03-01 260台设备可打包或单独出售 国内
电热鼓风烤箱 电热鼓风烤箱 JS-DHK9203 2018-07-04 260台设备可打包或单独出售 国内
自动撕金机 自动撕金机 - LOA6-220 2016-03-01 260台设备可打包或单独出售 国内
DISCO DFL7340全自动激光切割机 DISCO DFL7340全自动激光切割机 DISCO DFL7340 2011-08-01 260台设备可打包或单独出售; 已售出
DISCO DFL7340全自动激光切割机 DISCO DFL7340全自动激光切割机 DISCO DFL7340 2011-11-01 260台设备可打包或单独出售; 已售出
LAM TCP9400氮化镓刻蚀机 LAM TCP9400氮化镓刻蚀机 LAM泛林 TCP9400(备用机) 2018-12-24 260台设备可打包或单独出售; 已售出
DISCO DFL7340全自动激光切割机 DISCO DFL7340全自动激光切割机 DISCO DFL7340 2011-03-01 260台设备可打包或单独出售; 已售出
DISCO DFL7340全自动激光切割机 DISCO DFL7340全自动激光切割机 DISCO DFL7340 2011-08-01 260台设备可打包或单独出售; 已售出
DISCO DFL7340全自动激光切割机 DISCO DFL7340全自动激光切割机 DISCO DFL7340 2011-08-01 260台设备可打包或单独出售; 已售出
SAMCO RIE-331IPC刻蚀机 SAMCO RIE-331IPC刻蚀机 SAMCO RIE-331IPC (33A2910) 2014-05-01 260台设备可打包或单独出售 国内
DISCO DFL7340全自动激光切割机 DISCO DFL7340全自动激光切割机 DISCO DFL7340 2011-08-01 260台设备可打包或单独出售; 已售出
隐形激光划片机 隐形激光划片机 SLS-200 2017-03-01 260台设备可打包或单独出售 国内
DISCO DFL7340全自动激光切割机 DISCO DFL7340全自动激光切割机 DISCO DFL7340 2011-08-01 260台设备可打包或单独出售; 已售出
全自动制片机(单焦点) 全自动制片机(单焦点) 大族激光科技产业集团股 DSI9288-L 2018-07-04 260台设备可打包或单独出售 国内
氧化狄标准样片 氧化狄标准样片 芜湖市捷源机电设备有限 666-F1 2019-04-30 260台设备可打包或单独出售 国内
自动裂片机 自动裂片机 宏腾科技股份有限公司 WB-1100HE 2013-02-01 260台设备可打包或单独出售 国内
自动裂片机 自动裂片机 宏腾科技股份有限公司 WB-1100HE 2011-12-01 260台设备可打包或单独出售 国内
WB-1100HE裂片机 WB-1100HE裂片机 宏腾科技股份有限公司 WB-1100HE 2011-12-01 260台设备可打包或单独出售 国内
LED晶圆全自动劈裂机 LED晶圆全自动劈裂机 GTA GT-100 2014-10-01 260台设备可打包或单独出售 国内
全自动点测机-晶圆点测机 全自动点测机-晶圆点测机 Innobize (Z-AWP1000 (IZ-D1102-001) 2014-05-01 260台设备可打包或单独出售 国内
全自动减薄机 全自动减薄机 苏州思普新科技有限公司 NSG-1100V(SSG-13V-12004) 2012-08-27 260台设备可打包或单独出售 国内
全自动研磨机 全自动研磨机 苏州恩普斯科技有双公司 NSG-2020(SSL-2BS-11012) 2012-05-14 260台设备可打包或单独出售 国内
全自动研磨机 全自动研磨机 苏州恩普斯科技有双公司 NSL-2020(SSL-2BS-11016) 2012-08-07 260台设备可打包或单独出售 国内
ITO酸槽清洗机 ITO酸槽清洗机 新耘实业股份有限公司 WS-150-ITO 2014-11-04 260台设备可打包或单独出售 国内
Reworidtt槽清洗机 Reworidtt槽清洗机 新耘实业股份有限公司 WS-150-REWORK 2014-11-04 260台设备可打包或单独出售 国内
显影机Developer 显影机Developer SVS MSX1OOO(101052) 2010-08-01 260台设备可打包或单独出售 国内
显影机Deveioper 显影机Deveioper SVS MSX1OOO(1O1051) 2010-08-01 260台设备可打包或单独出售 国内
有机自动清洗机 有机自动清洗机 ATIS公司 MAGIC-452S 2014-11-01 260台设备可打包或单独出售 国内
立体显微镜 立体显微镜 SZ51 2019-06-11 260台设备可打包或单独出售 国内
体式显微镜 体式显微镜 奥林巴斯株式会社 SZ51 2019-06-11 260台设备可打包或单独出售 国内
体式显微镜 体式显微镜 奥林巴斯株式会社 SZ51 2019-06-11 260台设备可打包或单独出售 国内
同轴光源显微镜 同轴光源显微镜 SZ51 2019-06-11 260台设备可打包或单独出售 国内
同轴光源显微镜 同轴光源显微镜 SZ51 2019-06-11 260台设备可打包或单独出售 国内
同轴光源显微镜 同轴光源显微镜 SZ51 2019-06-11 260台设备可打包或单独出售 国内
同轴光源显微镜 同轴光源显微镜 SZ51 2019-06-11 260台设备可打包或单独出售 国内
同轴光源显微镜 同轴光源显微镜 SZ51 2019-06-11 260台设备可打包或单独出售 国内
同轴光源显微镜 同轴光源显微镜 SZ51 2019-06-11 260台设备可打包或单独出售 国内
同轴光源显微镜 同轴光源显微镜 SZ51 2019-06-11 260台设备可打包或单独出售 国内
同轴光源显微镜 同轴光源显微镜 SZ51 2019-06-11 260台设备可打包或单独出售 国内
同轴光源显微镜 同轴光源显微镜 SZ51 2019-06-11 260台设备可打包或单独出售 国内
电加热尾气处理器 电加热尾气处理器 BR-4 2019-04-30 260台设备可打包或单独出售 国内
电加热尾气处理器 电加热尾气处理器 BR-4 2019-04-30 260台设备可打包或单独出售 国内
EKC有机清洗机 EKC有机清洗机 新耘实业股份有限公司 WS-150-ACE+EKC K014O70O267 2014-11-01 260台设备可打包或单独出售 国内
倒装焊接设备 倒装焊接设备 panasonlc MDP200-GGI 2016-04-01 260台设备可打包或单独出售 国内
LED晶粒外观检查机 LED晶粒外观检查机 政美应用股份有限公司 DISA-T3H 2014-12-01 260台设备可打包或单独出售 国内
ACE有机清洗机 ACE有机清洗机 新耘实业股份有限公司 SB-155S - 260台设备可打包或单独出售 国内
自动目测机 自动目测机 IMS LVIS-V 2012-12-15 260台设备可打包或单独出售 国内
自动目测机 自动目测机 IMS LVIS-V 2012-12-15 260台设备可打包或单独出售 国内
隐形激光划片机 隐形激光划片机 QMC CO,Ltd PLS-600 2014-09-01 260台设备可打包或单独出售 国内
隐形激光划片机 隐形激光划片机 QMC CO,Ltd PLS-600 2014-09-01 260台设备可打包或单独出售 国内
高温硫磷酸加热设备 高温硫磷酸加热设备 ATIS公司 SHT-UHS72 2009-09-01 260台设备可打包或单独出售 国内
高温手动清洗设备 高温手动清洗设备 AT1S公司 JA1206 2009-09-01 260台设备可打包或单独出售 国内
化学镀金机 化学镀金机 ATIS公司 2010-11-01 260台设备可打包或单独出售 国内
ULVAC EI-OPI085光学膜蒸发镀膜设备(背镀) ULVAC EI-OPI085光学膜蒸发镀膜设备(背镀) ULVAC爱发科 EI-OPI085 2010-12-01 260台设备可打包或单独出售 国内
全自动晶圆软抛机 全自动晶圆软抛机 苏州恩普斯科技有限公司 NSC-4036(SMC-4ES-12004) 2012-10-10 260台设备可打包或单独出售 国内
Innobize	IZ-HAS1000 LED Sorter Innobize IZ-HAS1000 LED Sorter Innobize IZ-HAS1000 2012-12-15 260台设备可打包或单独出售 国内
杰特 JTE-M100全自动洗碗机 杰特 JTE-M100全自动洗碗机 四川杰特机器有限公司 JTE-M100 2015-08-01 260台设备可打包或单独出售 国内
防震台 防震台 协伟集成电路设备(上海 2550*1950*610mm 2015-08-01 260台设备可打包或单独出售 国内
防震台 防震台 协伟集成电路设备(上海 2550*1950*610mm 2015-08-01 260台设备可打包或单独出售 国内
镀锅 镀锅 上海佛裕商贸有限公司 2016-11-01 260台设备可打包或单独出售 国内
防震台 防震台 协伟集成电路设备(上海 1800*1650*610mm 2016-06-01 260台设备可打包或单独出售 国内
防震台 防震台 协伟集成电路设备(上海 1800*1650*610mm 2016-06-01 260台设备可打包或单独出售 国内
电热水洗式废气处理器 电热水洗式废气处理器 上海兄弟微电子技术有限 ISIS-1 2017-09-01 260台设备可打包或单独出售 国内
裂片机 裂片机 宏腾科技股份有限公司 WB-1100HE 2011-12-01 260台设备可打包或单独出售 国内
自动抽测机 自动抽测机 旺矽科技股份有限公司 IEDA-8F P7202 2012-01-01 260台设备可打包或单独出售 国内
前置盒/ESD8KV 前置盒/ESD8KV 旺矽科技股份有限公司 前置盒ESD8KV 2016-08-01 260台设备可打包或单独出售 国内
Innobize IZ-HAS1000 LED Sorter Innobize IZ-HAS1000 LED Sorter Innobize IZ-HAS1000 2011-06-01 260台设备可打包或单独出售 国内
LED PROBER LED PROBER Innobize IZ-AWP1000 2011-06-01 260台设备可打包或单独出售 国内
LED PROBER LED PROBER Innobize IZ-AWP1000 2011-06-01 260台设备可打包或单独出售 国内
LED PROBER LED PROBER Innobize IZ-AWP1000 2011-06-01 260台设备可打包或单独出售 国内
LED PROBER LED PROBER Innobize IZ-AWP1000 2011-06-01 260台设备可打包或单独出售 国内
LED PROBER LED PROBER Innobize IZ-AWP1000 2011-06-01 260台设备可打包或单独出售 国内
LED PROBER LED PROBER Innobize IZ-AWP1000 2011-06-01 260台设备可打包或单独出售 国内
LED PROBER LED PROBER Innobize IZ-AWP1000 2011-06-01 260台设备可打包或单独出售 国内
LED PROBER LED PROBER Innobize IZ-AWP1000 2011-06-01 260台设备可打包或单独出售 国内
LED PROBER LED PROBER Innobize IZ-AWP1000 2011-06-01 260台设备可打包或单独出售 国内
全自动减薄机 全自动减薄机 苏州恩普斯科技有限公司 GV320/PC-VDM(NT-NB0007) 2011-01-08 260台设备可打包或单独出售 国内
GV320/PC-VDM全自动减薄机 GV320/PC-VDM全自动减薄机 苏州恩普斯科技有限公司 2010-10-25 260台设备可打包或单独出售 国内
全自动晶圆软抛机 全自动晶圆软抛机 苏州恩普斯科技有限公司 NSC-4036(SMC-4ES-12005) 2012-10-10 260台设备可打包或单独出售 国内
自动目检机 自动目检机 IMS LVIS-V 2014-05-01 260台设备可打包或单独出售 国内
自动目检机 自动目检机 IMS LVIS-V 2014-05-01 260台设备可打包或单独出售 国内
快速退火炉 快速退火炉 YEST.co.kr YIT-4061 2012-08-01 260台设备可打包或单独出售 国内
返工清洗机 返工清洗机 ATIS公司 MAGIC-44M(A0101114) 2009-09-01 260台设备可打包或单独出售 国内
快速退火炉 快速退火炉 YEST.co.kr YIT-4O61 2010-12-01 260台设备可打包或单独出售 国内
有机自动清洗台 有机自动清洗台 ATIS公司 Magic-431(A0100903(TTO)) 2009-09-01 260台设备可打包或单独出售 国内
ATIS EKC422S有机清洗机 ATIS EKC422S有机清洗机 ATIS公司 EKC422S(A0120709) 2009-09-01 260台设备可打包或单独出售 国内
4KV ESD模组(16/6/16) 4KV ESD模组(16/6/16) MPI Corporation L910ASESD000200 2016-08-01 260台设备可打包或单独出售 国内
4KV ESD模组(16/6/16) 4KV ESD模组(16/6/16) MPI Corporation L910ASESD000200 2016-08-01 260台设备可打包或单独出售 国内
4KV ESD模组(16/6/16) 4KV ESD模组(16/6/16) MPI Corporation L910ASESD000200 2016-08-01 260台设备可打包或单独出售 国内
THY模组 THY模组 MPI Corporation L910AST20000780 2016-08-01 260台设备可打包或单独出售 国内
THY模组 THY模组 MPI Corporation L910AST20000780 2016-08-01 260台设备可打包或单独出售 国内
THY模组 THY模组 MPI Corporation L910AST20000780 2016-08-01 260台设备可打包或单独出售 国内
THY模组 THY模组 MPI Corporation L910AST20000780 2016-08-01 260台设备可打包或单独出售 国内
THY模组 THY模组 MPI Corporation L910AST20000780 2016-08-01 260台设备可打包或单独出售 国内
THY模组 THY模组 MPI Corporation L910AST20000780 2016-08-01 260台设备可打包或单独出售 国内
K2611B-L电流源(keithley) K2611B-L电流源(keithley) MPI Corporation L100EWPT2000451 2016-08-01 260台设备可打包或单独出售 国内
K2611B-L电流源(keithley) K2611B-L电流源(keithley) MPI Corporation L100EWPT2000451 2016-08-01 260台设备可打包或单独出售 国内
K2611B-L电流源(keithley) K2611B-L电流源(keithley) MPI Corporation L100EWPT2000451 2016-08-01 260台设备可打包或单独出售 国内
K2611B-L电流源(keithley) K2611B-L电流源(keithley) MPI Corporation L100EWPT2000451 2016-08-01 260台设备可打包或单独出售 国内
K2611B-L电流源(keithley) K2611B-L电流源(keithley) MPI Corporation L100EWPT2000451 2016-08-01 260台设备可打包或单独出售 国内
K2611B-L电流源(keithley) K2611B-L电流源(keithley) MPI Corporation 100EWPT2000451 2016-08-01 260台设备可打包或单独出售 国内
防震台 防震台 协伟集成电路设备-上海 2550*1950*720mm 2015-08-01 260台设备可打包或单独出售 国内

页次: 4 / 9页 每页:500 设备数:4137   9[1][2][3][4][5][6][7][8][9]: 总共有9页

二手半导体设备交易平台semi1688.com
城市分站:北京龙玺精密 | 上海龙玺精密 | 深圳龙玺精密 | 成都龙玺精密 | 天津 | 香港 | 澳门 | 安徽 | 福建 | 广东 | 广西 | 贵州 | 甘肃 | 海南 | 河北 | 河南 | 黑龙江 | 湖北 | 湖南 | 吉林 | 江苏 | 江西 | 辽宁 | 内蒙古 | 宁夏 | 青海 | 陕西 | 山西 | 山东 | 四川 | 台湾 | 西藏 | 新疆 | 云南 | 浙江 | japan longxi | korea longxi | USA longxi
 
关于我们 | 产品中心 | 新闻资讯 | 非标定制 | 技术服务 | 联系我们 | 二手半导体设备采购平台
地址:宁波市奉化区东峰路80号or江苏徐州市贾汪区工业园 电话:18868521984龙先生
邮箱:ljb929@126.com 注:设备状态不定期更新,是否已售出请咨询。
    设备咨询,请加我微信
Copyright © 2019-2024 龙玺精密 版权所有 备案号:浙ICP备2021036227号
VGF单晶炉|高压VGF单晶炉|VTM单晶炉|SIC单晶炉|多晶合成炉|平面抛光机|平面研磨机|双面研磨机和抛光机|曲面抛光机|槽式清洗机|第二代半导体材料全自动清洗机|GASS立式甩干机|多工位甩干机|高温退火炉|低温立式炉|ALD原子层沉积设备|真空封焊炉|磁控溅射镀膜机|高真空多靶磁控溅射镀膜机|卷绕镀膜系统|电阻蒸发镀膜机|电子束蒸发镀膜机|机械手|手套箱|晶体生长设备|研磨抛光设备|材料清洗设备|真空镀膜设备|其他定制设备|二手半导体设备|国内二手半导体设备|国外二手半导体设备|二手半导体设备买卖|二手半导体设备翻新|二手半导体设备维护|二手半导体设备回收|二手半导体设备采购平台|二手半导体设备交易平台|切割机|划片机|光刻机|检测仪|测试仪|扫描电镜|蚀刻机|探针台|二手半导体设备|二手CANON设备|二手NIKON设备|二手DISCO设备|二手ASML设备|二手AMAT设备|二手TEL设备|二手LAM设备|二手KLA设备|二手Semics设备|二手Hitachi设备|二手半导体设备采购平台semi1688.com
VGF单晶炉|高压VGF单晶炉|VTM单晶炉|SIC单晶炉|多晶合成炉|平面抛光机|平面研磨机|双面研磨机|双面抛光机|曲面抛光机|槽式清洗机|第二代半导体材料全自动清洗机|GASS立式甩干机|多工位甩干机|高温退火炉|低温立式炉|ALD原子层沉积设备|真空封焊炉|磁控溅射镀膜机|高真空多靶磁控溅射镀膜机|卷绕镀膜系统|电阻蒸发镀膜机|电子束蒸发镀膜机|机械手|手套箱|晶体生长设备|研磨抛光设备|材料清洗设备|真空镀膜设备|其他定制设备|龙玺精密|国内二手设备|国外二手设备|二手半导体设备|二手设备买卖|二手设备翻新|二手设备回收|CANON二手设备|NIKON二手设备|DISCO二手设备|ASML二手设备|AMAT二手设备|TEL二手设备|LAM二手设备|KLA二手设备|SEMICS二手设备|HITACHI二手设备|芯片|晶圆|封装|半导体|切割机|划片机|光刻机|检测仪|测试仪|半导体设备|半导体封装|扫描电镜|蚀刻机|探针台|扩散炉