二手半导体设备交易平台semi1688.com
首页 CANON NIKON DISCO ASML AMAT TEL LAM KLA SEMICS HITACHI YOKOGAWA
图 片 设备名称 制造商 型号 年份 详细配置 状 态
防震台 防震台 协伟集成电路设备-上海 2550*1950*720mm 2015-08-01 260台设备可打包或单独出售 国内
防震台 防震台 协伟集成电路设备-上海 2550*1950*720mm 2015-08-01 260台设备可打包或单独出售 国内
防震台 防震台 协伟集成电路设备-上海 2550*1950*720mm 2015-08-01 260台设备可打包或单独出售 国内
防震台 防震台 协伟集成电路设备-上海 2550*1950*720mm 2015-08-01 260台设备可打包或单独出售 国内
防震台 防震台 协伟集成电路设备-上海 2550*1950*720mm 2015-08-01 260台设备可打包或单独出售 国内
8KV ESD模组 8KV ESD模组 MPI Corporation L910ASESD800010 2017-07-01 260台设备可打包或单独出售 国内
8KV ESD模组 8KV ESD模组 MPI Corporation L910ASESD800010 2017-07-01 260台设备可打包或单独出售 国内
静电放电模拟器ESD800单晶 静电放电模拟器ESD800单晶 维明科技股份有限公司 ESD800单晶 2017-07-01 260台设备可打包或单独出售 国内
8KV ESD模组 8KV ESD模组 MPI Corporation L910ASESD800010 2017-06-01 260台设备可打包或单独出售 国内
自动抽测机 自动抽测机 旺矽科技股份有限公司 LEDA-8F P7202 2011-11-01 260台设备可打包或单独出售 国内
8KV ESD模组 8KV ESD模组 MPI Corporation 8KV ESD模组 L910ASESD800010 2017-02-01 260台设备可打包或单独出售 国内
8KV ESD模组 8KV ESD模组 MPI Corporation 8KV ESD模组 L910ASESD800010 2017-02-01 260台设备可打包或单独出售 国内
自动抽测机 自动抽测机 旺矽科技股份有双公司 LEDA-8F P7202 2011-11-01 260台设备可打包或单独出售 国内
自动抽测机 自动抽测机 旺矽科技股份有限公司 LEDA-8F P7202 2012-11-01 260台设备可打包或单独出售 国内
自劫抽测机 自劫抽测机 旺矽科技股份有限公司 LEDA-8F P7202 2012-11-01 260台设备可打包或单独出售 国内
LED制程用精密高温试验机 LED制程用精密高温试验机 昆山九丰精密机械有限公 H-RUL-60,MO0318-1 2010-11-01 260台设备可打包或单独出售 国内
烤箱 烤箱 昆山九丰精密机械有限公 H-RHD-85,M003O9-10 2014-05-01 260台设备可打包或单独出售 国内
LED制程用精密高温试验机 LED制程用精密高温试验机 昆山九丰精密机械有限公 H-RVL-60(M00318-0) 2010-11-01 260台设备可打包或单独出售 国内
照度计 照度计 株式会社-尼康 NIM126(8212907) 2009-08-01 260台设备可打包或单独出售 国内
尾气纯化器 尾气纯化器 KOCAT INC KC-601 (KS-000523) 2014-06-01 260台设备可打包或单独出售 国内
尾气纯化器 尾气纯化器 KOCAT INC KC-601 (KS-000521) 2016-11-01 260台设备可打包或单独出售 国内
有机清洗机 有机清洗机 ATIS公司 MAGIC-4M(A0101113) 2009-09-01 260台设备可打包或单独出售 国内
有机清洗机 有机清洗机 ATIS公司 MAGIC-4SM(A0101112) 2009-09-01 260台设备可打包或单独出售 国内
陶瓷盘清洗机 陶瓷盘清洗机 大连中创科技有限公司 ZCCLX-MD1 2015-01-20 260台设备可打包或单独出售 国内
全自动晶圆劈裂机 全自动晶圆劈裂机 宏腾科技股份有限公司 2011-12-01 260台设备可打包或单独出售 国内
全自动晶圆劈裂机 全自动晶圆劈裂机 宏腾科技股份有限公司 2011-12-01 260台设备可打包或单独出售 国内
体式显微镜 体式显微镜 奥林巴斯株式会社 SZ51 2016-08-01 260台设备可打包或单独出售 国内
体式显微镜 体式显微镜 奥林巴斯株式会杜 SZ51 2016-08-01 260台设备可打包或单独出售 国内
体式显微镜 体式显微镜 奥林巴斯株式会杜 SZ51 2016-08-01 260台设备可打包或单独出售 国内
体式显微镜 体式显微镜 奥林巴斯株式会杜 SZ51 2016-08-01 260台设备可打包或单独出售 国内
体式显微镜 体式显微镜 奥林巴新株式会社 SZ51 2016-08-01 260台设备可打包或单独出售 国内
体式显微镜 体式显微镜 奥林巴斯株式会社 SZ51 2016-08-01 260台设备可打包或单独出售 国内
体式显微镜 体式显微镜 奥林巴斯株式会社 SZ51 2016-08-01 260台设备可打包或单独出售 国内
体式显微镜 体式显微镜 奥林巴斯株式会社 SZ51 2016-08-01 260台设备可打包或单独出售 国内
全自动晶圆劈裂机 全自动晶圆劈裂机 宏腾科技股份有限公司 WB-1100HE 2011-12-01 260台设备可打包或单独出售 国内
体式显微镜 体式显微镜 奥林巴斯株式会社 SZ51 2014-05-01 260台设备可打包或单独出售 国内
体式显微镜 体式显微镜 奥林巴新株式会社 SZ51 2014-05-01 260台设备可打包或单独出售 国内
显微镜 显微镜 奥林巴斯株式会社 SZ51M 2016-08-01 260台设备可打包或单独出售 国内
显微镜 显微镜 奥林巴斯株式会社 SZ51M 2016-08-01 260台设备可打包或单独出售 国内
防震台 防震台 协伟集成电路设备-上海 2015-08-01 260台设备可打包或单独出售 国内
尾气处理器 尾气处理器 KOCATINC KC-601 2014-06-01 260台设备可打包或单独出售 国内
尾气纯化器 尾气纯化器 KOCATINC KC-601 (KM00524) 2014-06-01 260台设备可打包或单独出售 国内
尾气纯化器 尾气纯化器 KOCATINC KC-601 (KMO0522) 2014-06-01 260台设备可打包或单独出售 国内
尾气处理器 尾气处理器 KOCATINC KX01 (KS400705) 2014-06-01 260台设备可打包或单独出售 国内
防震台 防震台 协伟集成电路设备-上海 2550*195*720mm 2015-08-01 260台设备可打包或单独出售 国内
防震台 防震台 协伟集成电路设备-上海 2550*195*720mm 2015-08-01 260台设备可打包或单独出售 国内
防震台 防震台 协伟集成电路设备-上海 2550*195*720mm 2015-08-01 260台设备可打包或单独出售 国内
防震台 防震台 协伟集成电路设备-上海 2550*195*720mm 2015-08-01 260台设备可打包或单独出售 国内
同轴光源显微镜 同轴光源显微镜 奥林巴斯株式会社 SZ51 2014-05-01 260台设备可打包或单独出售 国内
手动打线机 手动打线机 Kulcke&Soffa 4522,00 2012-08-01 260台设备可打包或单独出售 国内
同轴光源显微镜 同轴光源显微镜 奥林巴斯株式会社 SZ51 2014-05-01 260台设备可打包或单独出售 国内
防震台 防震台 协伟集成电路设备-上海 2550*195*720mm 2015-08-01 260台设备可打包或单独出售 国内
体视显微镜 体视显微镜 奥林巴斯株式会社 SZ51-ILLC 2016-04-03 260台设备可打包或单独出售 国内
防震台 防震台 协伟集成电路设备-上海 钢筋混凝土 2015-08-01 260台设备可打包或单独出售 国内
防震台 防震台 协伟集成电路设备-上海 钢筋混凝土 2015-08-01 260台设备可打包或单独出售 国内
喷砂机 喷砂机 上海施勇喷砂设备制造有 SY-1618A-2 2015-11-01 260台设备可打包或单独出售 国内
vectech VECTECH498A离子分析仪 vectech VECTECH498A离子分析仪 vectech VECTECH498A 2015-12-31 260台设备可打包或单独出售 国内
不锈钢分散板 不锈钢分散板 蚌埠市升创贸易有限公司 1380*1200mm*1Omm 2015-09-01 260台设备可打包或单独出售 国内
不锈钢分散板 不锈钢分散板 蚌埠市升创贸易有限公司 1380*1200mm*1Omm 2015-09-01 260台设备可打包或单独出售 国内
不锈钢分散板 不锈钢分散板 蚌埠市升创员易有限公司 1380*1200mm*1Omm 2015-09-01 260台设备可打包或单独出售 国内
不锈钢分散板 不锈钢分散板 蚌埠市升创贸易有限公司 1380*1200mm*1Omm 2015-09-01 260台设备可打包或单独出售 国内
LER机台仕切板 LER机台仕切板 昭和 LER机台仕切板无(依据图纸) 2015-09-01 260台设备可打包或单独出售 国内
半自动贴片机2-4寸共用型 半自动贴片机2-4寸共用型 长洛国际股份有限公司 MM-T2-2-4 2014-10-01 260台设备可打包或单独出售 国内
手动翻转机2-4寸共用型 手动翻转机2-4寸共用型 长洛国际股份有限公司 TOM-T1-2-4 2010-11-01 260台设备可打包或单独出售 国内
ESD模组(静电模拟器) ESD模组(静电模拟器) MPI Coporation 4KV 2015-10-31 260台设备可打包或单独出售 国内
ESD模组(静电模拟器) ESD模组(静电模拟器) MPI Coporation 4KV 2015-10-31 260台设备可打包或单独出售 国内
尾气处理器 尾气处理器 KOCAT INC KC-601 2014-05-01 260台设备可打包或单独出售 国内
ATIS JTECH-1450配件清洗机 ATIS JTECH-1450配件清洗机 ATIS JTECH-1450 2009-09-01 260台设备可打包或单独出售 国内
ATIS Parts Clean Bench (Acid)配件清洗机 ATIS Parts Clean Bench (Acid)配件清洗机 ATIS Parts Clean Bench (Acid) 1450 2009-09-01 260台设备可打包或单独出售 国内
SCINCO S-3100分光光度计 SCINCO S-3100分光光度计 SCINCO.CO.,LTD. S-3100(T)S310(M)0-140411U 2014-01-01 260台设备可打包或单独出售 国内
尾气处理机 尾气处理机 KOCAT ING KSL-601 2014-05-01 260台设备可打包或单独出售 国内
尾气处理机 尾气处理机 KOCAT ING KSL-601 2014-05-01 260台设备可打包或单独出售 国内
尾气处理机 尾气处理机 KOCAT ING KSL-601 2014-05-01 260台设备可打包或单独出售 国内
尾气处理机 尾气处理机 KOCAT ING KSL-601 2014-05-01 260台设备可打包或单独出售 国内
尾气处理机 尾气处理机 KOCAT ING KSL-601 2014-05-01 260台设备可打包或单独出售 国内
养化尾气处理机 养化尾气处理机 真晟专特实业有限公司 JS-7801 2015-08-01 260台设备可打包或单独出售 国内
三丰干分表测量装置 三丰干分表测量装置 三丰株式会社 ID-C125MXB 2011-09-09 260台设备可打包或单独出售 国内
晶粒计数器 晶粒计数器 里德科技有限公司 FSCPC-T1 2011-02-01 260台设备可打包或单独出售 国内
杰特 JTE-M100全自动洗碗机 杰特 JTE-M100全自动洗碗机 四川杰特机器有限公司 JTE-M100 2015-02-23 260台设备可打包或单独出售 国内
防震台 防震台 协伟集成电路设备-上海 2550*195*720mm 2014-12-16 260台设备可打包或单独出售 国内
防震台 防震台 协伟集成电路设备-上海 2550*195*720mm 2014-12-15 260台设备可打包或单独出售 国内
防震台 防震台 协伟集成电路设备-上海 2550*195*720mm 2014-12-14 260台设备可打包或单独出售 国内
自动抽测机 自动抽测机 旺矽科技股份有限公司 P7202 2012-01-01 260台设备可打包或单独出售 国内
自动抽测机 自动抽测机 旺矽科技股份有限公司 P7202 2012-08-01 260台设备可打包或单独出售 国内
自动抽测机 自动抽测机 旺矽科技股份有限公司 P7202 2011-11-01 260台设备可打包或单独出售 国内
自动抽测机 自动抽测机 旺矽科技股份有限公司 P7202 2011-11-01 260台设备可打包或单独出售 国内
超声铝丝压焊机 超声铝丝压焊机 创维星有限公司 2014-05-01 260台设备可打包或单独出售 国内
烤箱 烤箱 昆山九丰精密机械 H-RHD-85 2014-05-01 260台设备可打包或单独出售 国内
烤箱 烤箱 昆山九丰精密机械 H-RHD-85 2014-05-01 260台设备可打包或单独出售 国内
超声金丝球焊机 超声金丝球焊机 深圳伟天里半导体役各有 伟天星WT-2310 2010-09-01 260台设备可打包或单独出售 国内
显微镜 显微镜 奥林巴斯株式会社 OLYMPUS-SZ51M 2014-05-01 260台设备可打包或单独出售 国内
显微镜 显微镜 奥林巴斯株式会社 OLYMPUS-SZ51M 2014-05-01 260台设备可打包或单独出售 国内
显微镜 显微镜 奥林巴斯株式会社 OLYMPUS-SZ51M 2014-05-01 260台设备可打包或单独出售 国内
显微镜 显微镜 奥林巴斯株式会社 OLYMPUS-SZ51M 2014-05-01 260台设备可打包或单独出售 国内
显微镜 显微镜 奥林巴斯株式会社 OLYMPUS-SZ51M 2014-05-01 260台设备可打包或单独出售 国内
显微镜 显微镜 奥林巴斯株式会社 OLYMPUS-SZ51M 2014-05-01 260台设备可打包或单独出售 国内
显微镜 显微镜 奥林巴斯株式会社 OLYMPUS-SZ51M 2014-05-01 260台设备可打包或单独出售 国内
超生热压金丝球焊机+夹具 超生热压金丝球焊机+夹具 深圳伟天里半导体役各有 CS2360(GKCS2361-1(2010651)) 2010-09-01 260台设备可打包或单独出售 国内
翻转机 翻转机 里德科技有限公司 FSTOM-T2(1011002) 2014-05-01 260台设备可打包或单独出售 国内
翻转机 翻转机 里德科技有限公司 FSTOM-T1(1011003) 2010-11-01 260台设备可打包或单独出售 国内
静电放电模拟器 静电放电模拟器 旺矽科技股份有限公司 ESD800(ASJ0103) 2014-05-01 260台设备可打包或单独出售 国内
静电放电模拟器 静电放电模拟器 旺矽科技股份有限公司 ESD800(ASJ0061) 2014-05-01 260台设备可打包或单独出售 国内
全自动减簿机 全自动减簿机 苏州恩普斯科技有限公司 NSG-1100V(SSG-13V-12002) 2012-07-04 260台设备可打包或单独出售 国内
半自动扩张机 半自动扩张机 里德科技有限公司 FS EPM-T2(1011004) 2010-11-01 260台设备可打包或单独出售 国内
尾气处理器 尾气处理器 KOCAT INC KS-701(KS-000680) 2014-05-01 260台设备可打包或单独出售 国内
半自动点测机+测试机 半自动点测机+测试机 MPI-维明 LEDA-8F 3G PLUS-VB(M21102147/A 2011-02-01 260台设备可打包或单独出售 国内
半自动点测机+测试机 半自动点测机+测试机 MPI-维明 LEDA-8F 3G PLUS-VB(M21012777) 2010-12-01 260台设备可打包或单独出售 国内
半自动点测机+测试机 半自动点测机+测试机 MPI-维明 LEDA-8F 3G PLUS-VB(M21102137/A 2011-02-01 260台设备可打包或单独出售 国内
半自动点测机+测试机 半自动点测机+测试机 旺矽科技股份有限公司 LEDA-3GS+T200(M21207123) 2012-07-01 260台设备可打包或单独出售 国内
半自动点测机+测试机 半自动点测机+测试机 旺矽科技股份有限公司 LEDA-8F E3G PIUS+LED617(M21207 2012-07-01 260台设备可打包或单独出售 国内
半自动点测机+测试机 半自动点测机+测试机 旺矽科技股份有限公司 LEDA-8F E3G PIUS+LEDG17(M21208 2012-08-01 260台设备可打包或单独出售 国内
半自动点测机+测试机 半自动点测机+测试机 旺矽科技股份有限公司 IEDA-8F E3G PIUS+LED617(M21208 2012-08-01 260台设备可打包或单独出售 国内
半自动点测机+测试机 半自动点测机+测试机 旺矽科技股份有限公司 LEDA-3GS+T200 (M21207120) 2012-07-01 260台设备可打包或单独出售 国内
半自动点测机+测试机 半自动点测机+测试机 旺矽科技股份有限公司 LEDA-3GS+T200 (M21207124) 2012-07-01 260台设备可打包或单独出售 国内
半自动点测机+测试机 半自动点测机+测试机 旺矽科技股份有限公司 LEDA-3GS+T200 (M21207127) 2012-07-01 260台设备可打包或单独出售 国内
半自动点测机+测试机 半自动点测机+测试机 旺矽科技股份有限公司 LEDA-3GS+T200 (M21207128) 2012-07-01 260台设备可打包或单独出售 国内
半自动点测机+测试机 半自动点测机+测试机 旺矽科技股份有限公司 LEDA-3GS+T200 (M21206814) 2012-06-01 260台设备可打包或单独出售 国内
半自动点测机+测试机 半自动点测机+测试机 旺矽科技股份有限公司 L£DA-3GS+T200 (M21206833) 2012-06-01 260台设备可打包或单独出售 国内
半自动点测机+测试机 半自动点测机+测试机 旺矽科技股份有限公司 LEDA-3GS+T200 (M212O6820) 2012-06-01 260台设备可打包或单独出售 国内
半自动点测机+测试机 半自动点测机+测试机 旺矽科技股份有限公司 LEDA-3GS+T200 (M21206831) 2012-06-01 260台设备可打包或单独出售 国内
半自动点测机+测试机 半自动点测机+测试机 旺矽科技股份有限公司 LEDA-3GA+T200 (M212O6824) 2012-06-01 260台设备可打包或单独出售 国内
半自动点测机+测试机 半自动点测机+测试机 旺矽科技股份有限公司 LEDA-3GS+T200 (M21206819) 2012-06-01 260台设备可打包或单独出售 国内
半自动点测机+测试机 半自动点测机+测试机 旺矽科技股份有限公司 LEDA-3GS+T20O (M21206822) 2012-06-01 260台设备可打包或单独出售 国内
半自动点测机+测试机 半自动点测机+测试机 旺矽科技股份育限公司 LEDA-3GS+T200 (M21206823) 2012-06-01 260台设备可打包或单独出售 国内
半自动点测机+测试机 半自动点测机+测试机 旺矽科技股份有限公司 LEDA-3GS+T200 (M212O6816) 2012-06-01 260台设备可打包或单独出售 国内
半自动点测机+测试机 半自动点测机+测试机 旺矽科技股份有限公司 LEDA-3GS+T200 (M21206821) 2012-06-01 260台设备可打包或单独出售 国内
半自动点测机+测试机 半自动点测机+测试机 旺矽科技股份有限公司 LEDA-3GS+T200 (M21206B26) 2012-06-01 260台设备可打包或单独出售 国内
半自动点测机+测试机 半自动点测机+测试机 旺矽科技冠份有限公司 LEDA-6F E3G PIUS+LED617 (M2120 2012-06-01 260台设备可打包或单独出售 国内
半自动点测机+测试机 半自动点测机+测试机 旺矽科技股份有限公司 LEDA-8F E3G PIUS+LED617 (M2120 2012-06-01 260台设备可打包或单独出售 国内
半自动点测机+测试机 半自动点测机+测试机 旺矽科技股份有限公司 LEDA-8F E3G PIUS+l£D617 (M2120 2012-06-01 260台设备可打包或单独出售 国内
半自动点测机+测试机 半自动点测机+测试机 旺矽科技股份有限公司 LEDA-8F E3G PIUS+LED617 (M2120 2012-06-01 260台设备可打包或单独出售 国内
半自动点测机+测试机 半自动点测机+测试机 旺矽科技股份有限公司 LEDA-8F E3G PIUS+LED617 (M2120 2012-06-01 260台设备可打包或单独出售 国内
半自动点测机+测试机 半自动点测机+测试机 旺砂科技股份有限公司 LEDA-8F E3G PIUS+LED617 (M2120 2012-06-01 260台设备可打包或单独出售 国内
半自动点测机+测试机 半自动点测机+测试机 旺矽科技般份有限公司 LEDA-6F E3G PIUS+LED617 (M2120 2012-06-01 260台设备可打包或单独出售 国内
半自动点测机+测试机 半自动点测机+测试机 旺矽科技股份有限公司 LEDA-3GS+T200 (M21207121) 2012-06-01 260台设备可打包或单独出售 国内
半自动点测机+测试机 半自动点测机+测试机 旺矽科技股份有限公司 LEDA-3GS+T200 (M21206815) 2012-06-01 260台设备可打包或单独出售 国内
半自动点测机+测试机 半自动点测机+测试机 旺矽科技股份有限公司 LEDA-3GS+T200 (M21206820) 2012-06-01 260台设备可打包或单独出售 国内
半自动贴膜机 半自动贴膜机 里德科技有限公司 FSMM-T2(1011001) 2010-11-01 260台设备可打包或单独出售 国内
自动上蜡机 自动上蜡机 苏州恩普斯科技有限公司 NSB-1050 2011-09-09 260台设备可打包或单独出售 国内
全自动上蜡机 全自动上蜡机 苏州恩普斯科技有限公司 NSB-1050(SSB-235-12001) 2012-08-27 260台设备可打包或单独出售 国内
自动裂片机 自动裂片机 宏腾科技股份有限公司 WB-1100HE(S320110601) 2011-06-01 260台设备可打包或单独出售 国内
全自动Wafer点测机 全自动Wafer点测机 旺矽科技股份有限公司 Fully-auto(M21207566) 2012-08-01 260台设备可打包或单独出售 国内
HITACHI REGULUS 8230扫描电子显微镜 HITACHI REGULUS 8230扫描电子显微镜 HITACHI REGULUS 8230 - - 国外
TEL MARK8涂胶显影机 TEL MARK8涂胶显影机 TEL MARK8 - - 国外
DISCO DFL7340全自动激光切割机 DISCO DFL7340全自动激光切割机 DISCO DFL7340 - - 已售出
DISCO DFG840减薄机 DISCO DFG840减薄机 DISCO DFG840 - - 国外
TERADYNE J750测试系统 TERADYNE J750测试系统 TERADYNE J750 - - 国外
HITACHI S-4700扫描电子显微镜 HITACHI S-4700扫描电子显微镜 HITACHI S-4700 - - 国外
HITACHI S-4500扫描电子显微镜 HITACHI S-4500扫描电子显微镜 HITACHI S-4500 - - 国外
HITACHI S-4800扫描电子显微镜 HITACHI S-4800扫描电子显微镜 HITACHI S-4800 - - 国外
AMAT MATERIALS CENTURA AP MINOS AMAT MATERIALS CENTURA AP MINOS AMAT应用材料 APPLIED MATERIALS CENTURA AP M - - 国外
LAM Chambers for Altus, 12 LAM Chambers for Altus, 12 LAM泛林 Chambers for Altus, 12 - - 国外
DISCO DFD6340全自动切割机 DISCO DFD6340全自动切割机 DISCO DFD6340 2006 - 国外
DISCO DFD6240划片机 DISCO DFD6240划片机 DISCO DFD6240 - - 国外
DISCO DAD3650划片机 DISCO DAD3650划片机 DISCO DAD3650 - - 国外
DISCO DFD641划片机 DISCO DFD641划片机 DISCO DFD641 - 2台一起卖 国外
AMAT 8310氧化物蚀刻器 AMAT 8310氧化物蚀刻器 AMAT应用材料 8310 - 8" 国外
NIKON NSR 2205i11D光刻机 NIKON NSR 2205i11D光刻机 NIKON NSR-2205i11D - - 已售出
NIKON NSR 1505G4D步进式光刻机 NIKON NSR 1505G4D步进式光刻机 NIKON NSR-1505G4D - 有2台 国外
HITACHI S-806 HITACHI S-806 HITACHI S-806 - - 国外
LAM RESEARCH EOS LAM RESEARCH EOS LAM泛林 RESEARCH EOS - - 国外
HITACHI SU-1510扫描电子显微镜 HITACHI SU-1510扫描电子显微镜 HITACHI SU-1510 - - 国外
DISCO DFL7160激光切割机 DISCO DFL7160激光切割机 DISCO DFL7160 - - 国外
DISCO DFG850减薄机 DISCO DFG850减薄机 DISCO DFG850 - - 国外
DISCO EAD6340全自动切割机 DISCO EAD6340全自动切割机 DISCO EAD6340 - - 国外
DISCO DAD3350晶圆切割机 DISCO DAD3350晶圆切割机 DISCO DAD3350 - - 国外
DISCO DAD641切割机 DISCO DAD641切割机 DISCO DAD641 - - 国内
DISCO DFD651划片机 DISCO DFD651划片机 DISCO DFD651 - - 国内
DISCO DAG810高配 DISCO DAG810高配 DISCO DAG810高配 - - 已售出
DISCO DFG841晶圆减薄机 DISCO DFG841晶圆减薄机 DISCO DFG841 - - 国内
DISCO DAD3350晶圆切割机 DISCO DAD3350晶圆切割机 DISCO DAD3350 - - 国内
DISCO DFD6340裸 DISCO DFD6340裸 DISCO DFD6340裸 - - 国内
DISCO DFD6361切割机 DISCO DFD6361切割机 DISCO DFD6361 2013 - 国内
DISCO DFD6362划片机 DISCO DFD6362划片机 DISCO DFD6362 2021 - 国内
AMAT P5000刻蚀机 AMAT P5000刻蚀机 AMAT应用材料 P5000 - - 国内
AUTOMOTION LC4BP13002 AUTOMOTION LC4BP13002 AUTOMOTION LC4BP13002 - - 国内
BUEHLER ECOMETS3研磨封装 BUEHLER ECOMETS3研磨封装 BUEHLER ECOMETS3 - - 国内
Burker D8 XRD薄膜测量 Burker D8 XRD薄膜测量 Burker D8 XRD - - 国内
EBX-1000 EBX-1000 EBX EBX-1000 - - 国内
EVG 6200掩模对准系统 EVG 6200掩模对准系统 EVG 6200 - - 国内
EVG 620 BA光刻直写 EVG 620 BA光刻直写 EVG 620 BA - - 国内
EVG 620 光刻直写 EVG 620 光刻直写 EVG 620 - - 国内
HITACHI S-5200扫描电子显微镜 HITACHI S-5200扫描电子显微镜 HITACHI S-5200 - - 国内
INNOTEC LLE-2500薄膜沉积 INNOTEC LLE-2500薄膜沉积 INNOTEC LLE-2500 - - 国内
KEYENCE KS-1100薄膜测量 KEYENCE KS-1100薄膜测量 KEYENCE KS-1100 - - 国内
KLA CANDELA CS2薄膜测量 KLA CANDELA CS2薄膜测量 KLA科磊 CANDELA CS2 - - 国内
Leybold UL100 Plus Leybold UL100 Plus Leybold UL100 - - 国内
LOOMIS LSD100研磨封装 LOOMIS LSD100研磨封装 LOOMIS LSD100 - - 国内
MARCH CS-1701 MARCH CS-1701 MARCH CS-1701 - - 国内
MOCVD薄膜沉积 MOCVD薄膜沉积 - - - - 国内
OKK OKV-61NR清洗甩干 OKK OKV-61NR清洗甩干 OKK OKV-61NR - - 国内
Optical Associates Inc.OAI涂胶显影 Optical Associates Inc.OAI涂胶显影 Optical - - - 国内
optiphot 88 optiphot 88 optiphot 88 - - 国内
OXFORD 100 PECVD OXFORD 100 PECVD OXFORD牛津 100 PECVD - - 国内
P.System WPS3100芯片测试 P.System WPS3100芯片测试 - WPS3100 - - 国内
RIGAKU 3620薄膜测量 RIGAKU 3620薄膜测量 RIGAKU 3620 - - 国内
RTP-600S RTP-600S - - - - 国内
Rudolph 2,4C薄膜测量 Rudolph 2,4C薄膜测量 Rudolph - - - 国内
SAMCO PD-3800薄膜沉积 SAMCO PD-3800薄膜沉积 SAMCO PD-3800 - - 国内
SAMCO PD-3800L薄膜沉积 SAMCO PD-3800L薄膜沉积 SAMCO PD-3800L - - 国内
SAMCO PD-4800 SAMCO PD-4800 SAMCO PD-4800 - - 国内
SAMCO RIE-200IPC刻蚀去胶 SAMCO RIE-200IPC刻蚀去胶 SAMCO RIE-200IPC - - 国内
SAMCO RIE-200IP刻蚀去胶 SAMCO RIE-200IP刻蚀去胶 SAMCO RIE-200IP - - 国内
SAMCO RIE-212IPC刻蚀去胶 SAMCO RIE-212IPC刻蚀去胶 SAMCO RIE-212IPC - - 国内
SAMCO RIE-232IPC刻蚀去胶 SAMCO RIE-232IPC刻蚀去胶 SAMCO RIE-232IPC - - 国内
SPTS HRM ICP刻蚀去胶 SPTS HRM ICP刻蚀去胶 SPTS HRM ICP - - 国内
STS PECVD薄膜沉积 STS PECVD薄膜沉积 STS PECVD - - 国内
KARL SUSS FC150研磨封装 KARL SUSS FC150研磨封装 KARL SUSS FC150 - - 国内
KARL SUSS MA150光刻直写 KARL SUSS MA150光刻直写 KARL SUSS MA150 - - 国内
KARL SUSS SB6长晶键合 KARL SUSS SB6长晶键合 KARL SUSS SB6 - - 国内
SV90S 涂胶显影机 SV90S 涂胶显影机 - SV90S - - 国内
TECADIA TEC-3005KD研磨封装 TECADIA TEC-3005KD研磨封装 TECADIA TEC-3005KD - - 国内
Tektronix 370A芯片测试 Tektronix 370A芯片测试 Tektronix 370A - - 国内
TEL MK-2涂胶显影 TEL MK-2涂胶显影 TEL MK-2 - - 国内
TEL MARK-V涂胶显影机 TEL MARK-V涂胶显影机 TEL MARK-V - - 国内
Tencor Omnimap RS35C薄膜测量 Tencor Omnimap RS35C薄膜测量 Tencor Omnimap RS35C - - 国内
THERMCO 5200薄膜沉积 THERMCO 5200薄膜沉积 THERMCO 5200 - - 国内
TEL SEIMITSU A-PM-90A芯片测试 TEL SEIMITSU A-PM-90A芯片测试 TEL A-PM-90A - - 国内
TOWA SL473D2 TOWA SL473D2 TOWA SL473D2 - - 国内
ACCRETECH TSK UF300A探针台 ACCRETECH TSK UF300A探针台 ACCRETECH东京精密 UF300A - - 国内
ULVAC CC-200C薄膜沉积 ULVAC CC-200C薄膜沉积 ULVAC爱发科 CC-200C - - 国内
ULVAC NA8000刻蚀去胶 ULVAC NA8000刻蚀去胶 ULVAC爱发科 NA8000 - - 国内
ULVAC NE950刻蚀去胶 ULVAC NE950刻蚀去胶 ULVAC爱发科 NE950 - - 国内
ULVAC NE5000N刻蚀去胶 ULVAC NE5000N刻蚀去胶 ULVAC爱发科 NE5000N - - 国内
ULVAC SME 200溅射台 ULVAC SME 200溅射台 ULVAC爱发科 SME-200 - - 国内
Varian 947台式检漏仪 Varian 947台式检漏仪 Varian 947 - - 国内
West Bond 7200AR研磨封装 West Bond 7200AR研磨封装 West Bond 7200AR - - 国内
West Bond 7400A研磨封装 West Bond 7400A研磨封装 West Bond 7400A - - 国内
YES-5 OVEN快退加热 YES-5 OVEN快退加热 - - - - 国内
KARL SUSS MA6光刻机 KARL SUSS MA6光刻机 KARL SUSS MA6 - - 国内
CANON	MPA-500FA光刻机 CANON MPA-500FA光刻机 CANON MPA-500FA 1982 5" 国内
CANON	MPA-500FA光刻机 CANON MPA-500FA光刻机 CANON MPA-500FA 1995 5" 国内
CANON	MPA-500FAB光刻机 CANON MPA-500FAB光刻机 CANON MPA-500FAB 1995 5" 国内
CANON	MPA-500FAB光刻机 CANON MPA-500FAB光刻机 CANON MPA-500FAB 1996 5" 国内
CANON	MPA-500FA光刻机 CANON MPA-500FA光刻机 CANON MPA-500FA 1983 5" 国内
CANON PLA-501FA投影式光刻机 CANON PLA-501FA投影式光刻机 CANON PLA-501FA 1983 5" 国内
涂胶显影机 涂胶显影机 SVG MSX1000 - 2" 国内
刷片机 刷片机 DNS SC-W80A-AVFG 1996.12 8" 国内
涂胶显影机 涂胶显影机 DNS SCW-636-BV 1988 6" 国内
TEL	MARK-II显影机 TEL MARK-II显影机 TEL MARK-II - 6" 国内
TEL	MARK-II显影机 TEL MARK-II显影机 TEL MARK-II - 6" 国内
TEL	IW-6D扩散炉 TEL IW-6D扩散炉 TEL IW-6D 1996 5" 国内
TEL	IW-6D扩散炉 TEL IW-6D扩散炉 TEL IW-6D 1997 5" 国内
TEL UL-2604-08-HS扩散炉 TEL UL-2604-08-HS扩散炉 TEL UL-2604-08-HS - 6" 国内
TEL UL-2604-08-HS扩散炉 TEL UL-2604-08-HS扩散炉 TEL UL-2604-08-HS - 6" 国内
TEL UL-2604-08-HS扩散炉 TEL UL-2604-08-HS扩散炉 TEL UL-2604-08-HS - 6" 国内
TEL UL-2604-08L扩散炉 TEL UL-2604-08L扩散炉 TEL UL-2604-08L 1990 6" 国内
NITTO DENKO USD-6·D-11·2-2扩散炉 NITTO DENKO USD-6·D-11·2-2扩散炉 NITTO DENKO USD-6·D-11·2-2 - 6" 国内
NITTO DENKO USD-6·D-12·2-1扩散炉 NITTO DENKO USD-6·D-12·2-1扩散炉 NITTO DENKO USD-6·D-12·2-1 - 6" 国内
NITTO DENKO USD-6·D-5·3-2扩散炉 NITTO DENKO USD-6·D-5·3-2扩散炉 NITTO DENKO USD-6·D-5·3-2 - 6" 国内
NITTO DENKO USD-6·D-7·3-2扩散炉 NITTO DENKO USD-6·D-7·3-2扩散炉 NITTO DENKO USD-6·D-7·3-2 - 6" 国内
NITTO DENKO USD-6·D-8·3-7扩散炉 NITTO DENKO USD-6·D-8·3-7扩散炉 NITTO DENKO USD-6·D-8·3-7 - 6" 国内
NITTO DENKO USD-6·D-8·3-8扩散炉 NITTO DENKO USD-6·D-8·3-8扩散炉 NITTO DENKO USD-6·D-8·3-8 - 6" 国内
Toshiba Machine EGV-28GX外延炉 Toshiba Machine EGV-28GX外延炉 Toshiba Machine EGV-28GX 1998 6" 国内
干法刻蚀机 干法刻蚀机 Shibaura CDE-7-3A - 6" 国内
HITACHI M-308AT干法刻蚀机 HITACHI M-308AT干法刻蚀机 HITACHI M-308AT - 6" 国内
Alcan Tech MAS-801干法刻蚀机 Alcan Tech MAS-801干法刻蚀机 Alcan Tech MAS-801 - 6" 国内
Alcan Tech MAS-801干法刻蚀机 Alcan Tech MAS-801干法刻蚀机 Alcan Tech MAS-801 - 6" 国内
Alcan Tech MAS-801干法刻蚀机 Alcan Tech MAS-801干法刻蚀机 Alcan Tech MAS-801 - 6" 国内
Alcan Tech MAS-801干法刻蚀机 Alcan Tech MAS-801干法刻蚀机 Alcan Tech MAS-801 - 6" 国内
TEL	TE480HGC干法刻蚀机 TEL TE480HGC干法刻蚀机 TEL TE480HGC - 6" 国内
TEL	TE480HGC干法刻蚀机 TEL TE480HGC干法刻蚀机 TEL TE480HGC - 6" 国内
TEL VDF610S扩散炉 TEL VDF610S扩散炉 TEL VDF610S - 6" 国内
NISSIN NH-20SR离子注入机 NISSIN NH-20SR离子注入机 NISSIN日新 NH-20SR - 6" 国内
NISSIN NH-20SR离子注入机 NISSIN NH-20SR离子注入机 NISSIN日新 NH-20SR - 6" 国内
打标机 打标机 NEC Laser Automation SL-473F 1997 5" 国内
烘箱 烘箱 DAN SCIENCE DI-200H-AR - 6" 国内
TEL MAC-92CV掩膜版测试仪 TEL MAC-92CV掩膜版测试仪 TEL MAC-92CV - 6" 国内
电阻率测试仪 电阻率测试仪 NAPSON RG-8 - 6" 国内
旋转涂膜机 旋转涂膜机 Dainippon Screen MFC SCW-622-BV - 6" 国内
NIKON NSR 1505G6E光刻机 NIKON NSR 1505G6E光刻机 NIKON NSR-1505G6E 1998 6" 国内
NIKON NSR 1505G6E光刻机 NIKON NSR 1505G6E光刻机 NIKON NSR-1505G6E 1998 6" 国内
NITTO SEIKI	Detaper去膜机 NITTO SEIKI Detaper去膜机 NITTO SEIKI Detaper - 6" 国内
Nanometrics M-215膜厚测量仪 Nanometrics M-215膜厚测量仪 Nanometrics M-215 1989.05 6" 国内
Nanometrics M-215膜厚测量仪 Nanometrics M-215膜厚测量仪 Nanometrics M-215 1989.05 6" 国内
USHIO UMA-802-H55RM紫外线固胶机 USHIO UMA-802-H55RM紫外线固胶机 USHIO UMA-802-H55RM - 6" 国内
USHIO UMA-802-H55RM紫外线固胶机 USHIO UMA-802-H55RM紫外线固胶机 USHIO UMA-802-H55RM - 6" 国内
USHIO UMA-802-HC55RM紫外线固胶机 USHIO UMA-802-HC55RM紫外线固胶机 USHIO UMA-802-HC551RM - 6" 国内
USHIO UMA-802-HC55RM紫外线固胶机 USHIO UMA-802-HC55RM紫外线固胶机 USHIO UMA-802-HC55RM - 6" 国内
检版机 检版机 VPRA-6 - 6" 国内
NIKON NSR SF120光刻机 NIKON NSR SF120光刻机 NIKON NSR-SF120 - 12" 缺件 国内
NIKON NSR SF120光刻机 NIKON NSR SF120光刻机 NIKON NSR-SF120 - 12" 缺件 国内
NIKON NSR SF130光刻机 NIKON NSR SF130光刻机 NIKON NSR-SF130 - 12" 缺件 国内
NIKON NSR 4425i光刻机 NIKON NSR 4425i光刻机 NIKON NSR-4425i 1995.1 8" 缺件 国内
NIKON NSR 1755i7A光刻机 NIKON NSR 1755i7A光刻机 NIKON NSR-1755i7A 1990.9 6" 缺件 国内
NIKON NSR 2005i8A光刻机 NIKON NSR 2005i8A光刻机 NIKON NSR-2005i8A - 6" 国内
TEL	Lithius涂胶显影机 TEL Lithius涂胶显影机 TEL Lithius 2005.3 8" 国内
Toho technology H840A甩干机 Toho technology H840A甩干机 Toho technology H840A - 6" 国内
Toho technology H841A甩干机 Toho technology H841A甩干机 Toho technology H841A - 6" 国内
Arbrown	SPD-160RN甩干机 Arbrown SPD-160RN甩干机 Arbrown SPD-160RN - 6" 国内
Arbrown	H1220RNN甩干机 Arbrown H1220RNN甩干机 Arbrown H1220RNN - 6" 国内
甩干机 甩干机 SCREEN R1W-811 - 6" 国内
Varian 120XP大束流离子注入机 Varian 120XP大束流离子注入机 Varian 120XP 1989.9 6"大束流 国内
NIKON NSR 2005i8A光刻机 NIKON NSR 2005i8A光刻机 NIKON NSR-2005i8A - 6" 备件机 国内
NIKON NSR 1505G7E光刻机 NIKON NSR 1505G7E光刻机 NIKON NSR-1505G7E - 6"备件机 国内
NIKON NSR 1505G6E光刻机 NIKON NSR 1505G6E光刻机 NIKON NSR-1505G6E - 6"备件机 国内
KOKUSAI DD-803V扩散炉 KOKUSAI DD-803V扩散炉 KOKUSAI DD-803V 1991.7 6" 国内
TECHNOS TREX610T缺陷测试仪 TECHNOS TREX610T缺陷测试仪 TECHNOS TREX610T - 6" 国内
TEL MARK8涂胶显影机 TEL MARK8涂胶显影机 TEL MARK8 1997.3 8" 国内
涂胶机 涂胶机 SVG SVG8800 - 6" 国内
涂胶机 涂胶机 SVG SVG8800 - 6" 国内
显影机 显影机 SVG SVG8800 - 6" 国内
显影机 显影机 SVG SVG8800 - 6" 国内
烘箱 烘箱 Yes-1 - 6" 国内
旋涂机(3个工位) 旋涂机(3个工位) MIKASA 3H-D3 1991 - 国外
DAILITE	S-488旋涂机 DAILITE S-488旋涂机 DAILITE S-488 2002 - 国外
SDI COMPANY SA-0903半自动浸涂布机 SDI COMPANY SA-0903半自动浸涂布机 SDI COMPANY SA-0903 2009 设备名称:半自动浸涂机
型号:SA-0903
制造商:SDI
国外
旋涂机 旋涂机 S&D SDC-600 2008 - 国外
旋转涂布机 旋转涂布机 MIKASA MS-A150 - - 国外
蚀刻装置 蚀刻装置 TOK TCE-3822 2011 设备名称:蚀刻装置
型号:TCE-3822
制造商:东京应化&
国外
Panasonic E620I金属干法蚀刻机 Panasonic E620I金属干法蚀刻机 Panasonic E620I 2013 - 国外
CANON	L-310R-E真空蚀刻设备(ECR) CANON L-310R-E真空蚀刻设备(ECR) CANON L-310R-E 1999 设备名称:真空蚀刻设备(ECR)
型号:L-310R-E
制造
国外
SAMCO RIE-200NL等离子蚀刻系统 SAMCO RIE-200NL等离子蚀刻系统 SAMCO RIE-200NL 2008 - 已售出
CANON	L-201D-L真空蚀刻设备 CANON L-201D-L真空蚀刻设备 CANON L-201D-L 1998 - 国外
HITACHI DD-812V立式扩散炉 HITACHI DD-812V立式扩散炉 HITACHI DD-812V 2007 - 国外
SAMCO PD-200STP CVD设备氧化硅膜 SAMCO PD-200STP CVD设备氧化硅膜 SAMCO PD-200STP 2010 设备名称:液体原料CVD设备
型号:PD-200STP
制造商
国外
SAMCO	PD-10M等离子CVD设备(DLC薄膜) SAMCO PD-10M等离子CVD设备(DLC薄膜) SAMCO PD-10M特 - - 国外
溅镀装置(DVD) 溅镀装置(DVD) 芝浦机电一体化 S-200U 2010 设备名称:溅镀装置(DVD)
型号:S-200U
制造商:芝浦
国外
CANON	SPF-730	溅射设备 CANON SPF-730 溅射设备 CANON SPF-730 - 设备名称:溅射设备批量类型
型号:SPF-730
制造商:CA
国外
CANON	C-7960FL溅射装置(CtoC)单晶片型 CANON C-7960FL溅射装置(CtoC)单晶片型 CANON C-7960FL 1998 - 国外
CANON	L-501S-FHL溅射设备(CtoC) CANON L-501S-FHL溅射设备(CtoC) CANON L-501S-FHL 2004 设备名称:溅射设备(CtoC)
型号:L-501S-FHL
国外
溅射装置(DVD) 溅射装置(DVD) SHIBAURA COAT-7000 1999 - 国外
CANON	L-332S-FH溅射设备 CANON L-332S-FH溅射设备 CANON L-332S-FH 1998 - 国外
ULVAC SV-200批量式溅射设备 ULVAC SV-200批量式溅射设备 ULVAC爱发科 SV-200 2000 PVD 国外
溅射设备 溅射设备 JVC F0692 - - 国外
ULVAC SME 200E溅射台 ULVAC SME 200E溅射台 ULVAC爱发科 SME-200E 2006 - 国外
CANON	C-3103溅射设备 CANON C-3103溅射设备 CANON C-3103 1996 设备名称:溅射设备
型号:C-3103
年份:1996
制造
国外
SHIBAURA COAT-7000溅镀装置 SHIBAURA COAT-7000溅镀装置 SHIBAURA COAT-7000 1999 DVD 国外
CANON	特型L-400EK-L薄膜沉积设备 CANON 特型L-400EK-L薄膜沉积设备 CANON 特型L-400EK-L 2002 设备名称:薄膜沉积设备
型号:特型 L-400EK-L
制造商
国外
校对装置 校对装置 AYUMI AL-60M 2005 - 国外
晶圆・粉尘检测设备 晶圆・粉尘检测设备 TOPCON WM-3 - 设备名称:晶圆粉尘检查设备
型号:WM-3
制造商:TOPCO
国外
CANON	PLA-600F光罩校准器 CANON PLA-600F光罩校准器 CANON PLA-600F - - 国外
CANON	PLA-600光罩校准器 CANON PLA-600光罩校准器 CANON PLA-600 - - 国外
SHIMADZU ALLS-100X-33CⅡ液晶注入装置 SHIMADZU ALLS-100X-33CⅡ液晶注入装置 SHIMADZU島津 ALIS-100X-33CⅡ 2005 设备名称:液晶注⼊装置
型号:ALIS-100X-
国外
Techno Rise TUVC150SO紫外线臭氧清洁设备 Techno Rise TUVC150SO紫外线臭氧清洁设备 Techno Rise TUVC150SO 2004 - 国外
光罩旋转清洁机 光罩旋转清洁机 KMX MSC-150S-MS 1999 - 国外
USHIO UIS-25103AA紫外线照射装置 USHIO UIS-25103AA紫外线照射装置 USHIO UIS-25103AA 2012 设备名称:紫外线照射装置
型号:UIS-25103AA
制造商
国外
紫外线照射装置 紫外线照射装置 ORC ORE4002A 2005 设备名称:紫外线照射装置
型号:ORE4002A
制造商:OR
国外
旋转干燥机 旋转干燥机 AR BROWN SPD-160RN 1995 - 国外
旋转漂洗干燥机 旋转漂洗干燥机 SEMITOOL SRD-470S - 1、电源电压:1相100V 18A
2、频率:50/60 Hz
国外
FPP用高压喷射水流装置 FPP用高压喷射水流装置 RIX JS-100-DD 2005 - 国外
ULTRONICS UH108晶圆保护膜粘贴装置(覆膜机) ULTRONICS UH108晶圆保护膜粘贴装置(覆膜机) ULTRONICS UH108 - 设备名称:晶圆保护膜粘贴装置
型号:UH108
制造商:ULT
国外
ULTRONICS UH110晶圆保护膜剥离器(除膜机) ULTRONICS UH110晶圆保护膜剥离器(除膜机) ULTRONICS UH110 - 设备名称:晶圆胶带剥离器
型号:UH110
制造商:ULTRO
国外
TAKATORI TRA-P晶圆转移装置转移机 TAKATORI TRA-P晶圆转移装置转移机 TAKATORI TRA-P 2004 设备名称:晶圆转移装置转移机
型号:TRA-P
制造商:Tak
国外
JEL转移机器人 JEL转移机器人 JEL SHR3130S-200-PM-0092 - 设备名称:转移机器⼈
型号:SHR3130S-20
国外
转移机器人 转移机器人 Brooks Automation MultiTran? 5/VacuTran? 5 - - 国外
晶圆转移机 晶圆转移机 IMES WTMC01 2008 - 国外
Daitron DDMT-200-E晶圆剥离装置 Daitron DDMT-200-E晶圆剥离装置 Daitron DDMT-200-E 2011 - 国外
ULVAC PSS85/85红外灯加热装置可变气氛灯 ULVAC PSS85/85红外灯加热装置可变气氛灯 ULVAC爱发科 PSS85/85 2008.6 设备名称:红外灯加热装置可变⽓氛灯加热装置中可变&# 国外
YDK triton-EFEM晶圆传送设备 YDK triton-EFEM晶圆传送设备 YDK triton-EFEM - - 国外
隔膜式真空层压机 隔膜式真空层压机 NISHIKAWA西川制作所 EG-0901 2009 设备名称:均热散热板粘贴装置
型号:EG-0901
制造商:&
国外
曝光装置 曝光装置 Oak橡树制造 EXF-2005-B-00 2006 设备名称:光刻装置
型号:EXF-2005-B-00
年份:2
国外
DISCO DWR1721纯水循环装置 DISCO DWR1721纯水循环装置 DISCO DWR1721 - - 国外
DISCO DWR1722纯水循环设备 DISCO DWR1722纯水循环设备 DISCO DWR1722 2016 - 国外
DISCO EAD6340全自动切割机 DISCO EAD6340全自动切割机 DISCO EAD6340 - - 国外
DISCO DFL7340全自动激光切割机 DISCO DFL7340全自动激光切割机 DISCO DFL7340 - - 国外
HITACHI IMR-3-1离子铣削装置 HITACHI IMR-3-1离子铣削装置 HITACHI IMR-3-1 1992 设备名称:离⼦铣削装置
型号:IMR-3-1
国外
NISHIKAWA EG-0901隔膜式真空层压机 NISHIKAWA EG-0901隔膜式真空层压机 NISHIKAWA EG-0901 2009 设备名称:均热散热板粘贴装置
型号:EG-0901
制造商:&
国外
倒装芯片键合机 倒装芯片键合机 Panasonic NM-SB50A 2017 - 国外
Nordson	XD7600NT X射线检测系统 Nordson XD7600NT X射线检测系统 Nordson XD7600NT 2011 - 国外
DSI	HCT2B28HEX-3退火炉 DSI HCT2B28HEX-3退火炉 DSI HCT2B28HEX-3 2007 - 国外
Technovision FM-903S晶圆贴片机 Technovision FM-903S晶圆贴片机 Technovision FM-903S - - 国外
HITACHI WF-R55UV-H5微小线幅测定装置 HITACHI WF-R55UV-H5微小线幅测定装置 HITACHI WF-R55UV-H5 2007 设备名称:微线宽测量装置
型号:WF-R55UV-H5
制造商
国外
接合装置 阳级真空接合装置 接合装置 阳级真空接合装置 Ayumi Industry BH-50 2009 设备名称:接合装置阳极真空接合装置
型号:BH-50
制造商:
国外
ULVAC RTA-4050红外灯加热炉 ULVAC RTA-4050红外灯加热炉 ULVAC爱发科 RTA-4050 2002 设备名称:红外线灯加热装置 RAT
型号:RTA-4050
国外
Futek Furnace VF-KH150 6寸基板加热炉 Futek Furnace VF-KH150 6寸基板加热炉 Futek Furnace VF-KH150 - 设备名称:加热炉6英⼨基板加热炉
型号:VF-KH
国外
OLED发布寿命测试 OLED发布寿命测试 EHC ELS-100S - - 国外
USHIO CE-6000CH紫外线固化曝光设备 USHIO CE-6000CH紫外线固化曝光设备 USHIO CE-6000CH 2005 设备名称:6⼨晶圆光刻装置紫外线固化
型号:CE-
国外
Electronic Plaza 2220⼤⽓压等离子体装置 Electronic Plaza 2220⼤⽓压等离 Electronic Plaza 2220 2010 设备名称:⼤⽓压等离⼦体 国外
晶圆阻抗形状测试机 晶圆阻抗形状测试机 KOBELCO RPW-1000M 2004 - 国外
BECKMAN OPTIMA XE-90落地式超速离心机 BECKMAN OPTIMA XE-90落地式超速离心机 BECKMAN/COULTER OPTIMA XE-90 2014 - 国外
CV测试仪 CV测试仪 Four Dimension CVmap 92A 2014 设备名称:CV测试仪
型号:CVmap 92A
制造商:Fou
国外
自动曝光对位机 自动曝光对位机 MIKASA MA-20 1997 设备名称:对准曝光机
商品编号:K40002
型号:MA-20
国外
AMADA MS-TR4871激光修整装置 AMADA MS-TR4871激光修整装置 AMADA MIYACHI MS-TR4871 2012 设备名称:(HIC)修复装置
型号:MS-TR4871
制造商
国外
Technovision TW-300光掩膜清洗装置 Technovision TW-300光掩膜清洗装置 Technovision TW-300 1997 设备名称:光罩清洗装置
型号:TW-300
制造商:Techn
国外
ULVAC PSS85/85可变氛围灯加热装置 ULVAC PSS85/85可变氛围灯加热装置 ULVAC爱发科 PSS85/85 2008 设备名称:红外灯加热装置可变⽓氛灯加热装置中可变&# 国外
ACCRETECH A-WD-5001A划片机 ACCRETECH A-WD-5001A划片机 ACCRETECH东京精密 A-WD-5001A 1999 - 国外
阳极真空键合设备 阳极真空键合设备 AYUMI AB-40A-S 2007 - 国外
CLIMB PRODU SE330H覆膜机 CLIMB PRODU SE330H覆膜机 CLIMB PRODU SE330H 2009 设备名称:覆膜装置
型号:SE330H
制造商:CLIMB P
国外
ULVAC VHC-E416红外灯加热装置 ULVAC VHC-E416红外灯加热装置 ULVAC爱发科 VHC-E416 - 设备名称:红外灯加热装置⽓氛可变中/⾼ 国外
HITACHI IMR-3-1离子铣削装置 HITACHI IMR-3-1离子铣削装置 HITACHI IMR-3-1 1992 设备名称:离⼦铣削装置
型号:IMR-3-1
国外
ADVANCED  LITMAS RPS远程等离子体源 ADVANCED LITMAS RPS远程等离子体源 ADVANCED ENERGYAE LITMAS RPS 2020 设备名称:远程等离⼦
型号:LITMAS RPS
国外
台式抛光机打磨机 台式抛光机打磨机 Eyelash curler 49-5100-115 - 设备名称:台式抛光机打磨机
型号:49-5100-115
制造
国外
TF切断装置 TF切断装置 Apic Yamada CS-813-1 1997 设备名称:TF切断装置
型号:CS-813-1
年份:1997
国外
圆度测量仪 圆度测量仪 Taylor Hobson TALYROND 265 2000 设备名称:圆度测量仪
型号:TALYROND 265
制造商:
国外
偏光板粘贴装置 偏光板粘贴装置 ⽯⼭制作所 FS0823 1997 - 国外
抗蚀剂剥离清洗装置 抗蚀剂剥离清洗装置 access MSR-360U - - 国外
SEN HCT2B28HEX-3紫外线照射固化装置 SEN HCT2B28HEX-3紫外线照射固化装置 SEN HCT2B28HEX-3 - - 国外
⼩型台式等离⼦清洁器PiPi ⼩型台式等离⼦清洁器PiPi 大和材料 PiPi 2016 规格参数:
1、等离⼦模式:RIE
2、振荡频率
国外
CV测量装置 CV测量装置 Four Dimension CV MAP 92A 2014 设备名称:CV测量装置
型号:CV MAP 92A
制造商:F
国外
热油实试验机/通孔可靠性测试仪 热油实试验机/通孔可靠性测试仪 SHIMAKAWA PH-500D 2004 设备名称:热油实试验机/通孔可靠性测试仪
型号:PH-500D
国外
Nanometrics M6100膜厚测定装置 Nanometrics M6100膜厚测定装置 Nanometrics M6100 - 设备名称:膜厚测定装置
型号:M6100
制造商:Nanome
国外
纳米计量学 7200-2134膜厚测定装置 纳米计量学 7200-2134膜厚测定装置 纳米计量学 7200-2134 - 设备名称:膜厚测定装置
型号:7200-2134
制造商:纳&
国外
Nanometrics M6100UV-L6膜厚测定装置 Nanometrics M6100UV-L6膜厚测定装置 Nanometrics M6100UV-L6 - 设备名称:膜厚测定装置
型号:M6100UV-L6
制造商:N
国外
Nanometrics M6100UV-L6膜厚测定装置 Nanometrics M6100UV-L6膜厚测定装置 Nanometrics M6100UV-L6 - 设备名称:膜厚测定装置
型号:M6100UV-L6
制造商:N
国外
Logitech 1PM52抛光机 Logitech 1PM52抛光机 Logitech 1PM52 - 设备名称:抛光机
型号:1PM52
制造商:Logitech
国外
断线装置断线机 断线装置断线机 小坂研究所 JKB-505M - 设备名称:断线装置断线机
型号:JKB-505M
制造商:&#
国外
Futec Furness VF-KH150加热炉6英寸基板加热炉 Futec Furness VF-KH150加热炉6英寸基板加热炉 Futec Furness VF-KH150 - 设备名称:加热炉6英⼨基板加热炉
型号:VF-KH
国外
Adtech AXR-1000射频电源 Adtech AXR-1000射频电源 Adtech AXR-1000 2008 设备名称:射频电源
型号:AXR-1000
制造商:Adtec
国外
追踪检查装置 追踪检查装置 OHT TTS-02 2004 设备名称:追踪检查装置
型号:TTS-02
制造商:OHT
国外
回流炉-远红外台式回流焊装置 回流炉-远红外台式回流焊装置 日本脉冲技术研究所 RF-330 2008 设备名称:回流炉
型号:RF-330
制造商:⽇
国外
钠灯 传输用复合照明装置 钠灯 传输用复合照明装置 同润光机 KLTFNL-F404N18 2008 设备名称:钠灯传输⽤复合照明装置
型号:KLTFN
国外
大和材料	PiPi等离子处理设备 大和材料 PiPi等离子处理设备 大和材料 PiPi 2016 设备名称:等离⼦处理设备
型号:PiPi
制造商
国外
MKS ENI	RPG-50A直流电源脉冲直流等离子发生器 MKS ENI RPG-50A直流电源脉冲直流等离子发生器 MKS ENI RPG-50A 2008 设备名称:直流电源脉冲直流等离⼦发⽣器 国外
长野科学CH43-W14P恒温槽 长野科学CH43-W14P恒温槽 长野科学 CH43-W14P 2008 设备名称:恒温槽
型号:CH43-W14P
制造商:w
国外
玻璃破碎机 玻璃破碎机 Joyo/Engineering JKB-500M-A-1 2004 设备名称:玻璃破碎机
型号:JKB-500M-A-1
制造商:
国外
Electronic Plaza 2220高压等离子体装置 Electronic Plaza 2220高压等离子体装置 Electronic Plaza 2220 2010 设备名称:⼤⽓压等离⼦体 国外
ACF粘贴机 ACF粘贴机 SIC NAL02-10 2005 设备名称:ACF粘贴机
型号:NAL02-10
制造商:SIC
国外
FUSION UV DRW-116Q-G紫外线照射装置 FUSION UV DRW-116Q-G紫外线照射装置 FUSION UV/SYSTEM DRW-116Q-G 2013 - 国外
SII SFT9200 X射线荧光膜测厚仪 SII SFT9200 X射线荧光膜测厚仪 SII精工仪器 SFT9200 2003 设备名称:X射线荧光膜测厚仪
型号:SFT9200
制造商:S
国外
Nagase Integrex NSF-600平面磨床 Nagase Integrex NSF-600平面磨床 Nagase Integrex NSF-600 2017 设备名称:平⾯磨床超精密磨床超精密恒压定量复合控制磨 国外
本⽥电⼦	W-100-HFMKⅡ超声波清洗机 本⽥电⼦ W-100-HFMKⅡ超声波清洗机 本⽥电⼦ W-100-HFMKⅡ 2001 设备名称:超声波清洗机
型号:W-100-HFMKⅡ
年份:2
国外
测⼒计载荷测量推拉⼒计 测⼒计载荷测量推拉⼒计 爱科工程 MODEL-1605ⅡV 2007 设备名称:测⼒计载荷测量推拉⼒计
国外
RF电源 RF电源 珍珠工业 RP-1000-13M 1999 设备名称:RF电源
型号:RP-1000-13M
年份:199
国外
红外分光光度计 红外分光光度计 日本光谱 FT/IR-4100 IRT-5000 - 设备名称:红外分光光度计
型号:FT/IR-4100 IRT-5
国外
AOI检查设备板外观检查设备 AOI检查设备板外观检查设备 INSPEC SX5300 2017 设备名称:AOI 检查设备板外观检查设备
型号:SX5300
国外
低温泵 低温泵 HELIX CTI螺旋 ON-BOARD 8F - 设备名称:低温泵
型号:ON-BOARD 8F
类别:真空
国外
STEC V-40高精度精密薄膜流量计 STEC V-40高精度精密薄膜流量计 STEC V-40 - 设备名称:薄膜流量计(测量单元)⾼精度精密薄膜流量计 国外
射频电源套装产品 射频电源套装产品 京三 RFK75Z - 设备名称:射频电源套装产品
型号:RFK75Z
制造商:京三
国外
光源紫外线照射装置冷光 光源紫外线照射装置冷光 莫⾥泰克斯 MUV-250U-L - 设备名称:光源紫外线照射装置冷光
型号:MUV-250U-L
国外
ACCRETECH UF200探针台 ACCRETECH UF200探针台 ACCRETECH东京精密 UF200 2000 TEST 国外
ACCRETECH UF200探针台 ACCRETECH UF200探针台 ACCRETECH东京精密 UF200 - TEST 国外
ACCRETECH UF200探针台 ACCRETECH UF200探针台 ACCRETECH东京精密 UF200 1998 TEST 国外
ACCRETECH UF200A探针台 ACCRETECH UF200A探针台 ACCRETECH东京精密 UF200A 2003 TEST 国外
ACCRETECH UF200SA探针台 ACCRETECH UF200SA探针台 ACCRETECH东京精密 UF200SA 2005 TEST 国外
ACCRETECH UF200SA探针台 ACCRETECH UF200SA探针台 ACCRETECH东京精密 UF200SA 2005 TEST 国外
ACCRETECH UF200SA探针台 ACCRETECH UF200SA探针台 ACCRETECH东京精密 UF200SA 2004 TEST 国外
ACCRETECH UF3000探针台 ACCRETECH UF3000探针台 ACCRETECH东京精密 UF3000 2006 TEST 国外
ACCRETECH UF3000EX探针台 ACCRETECH UF3000EX探针台 ACCRETECH东京精密 UF3000EX 2014 TEST 国外
ADVANTEST 93000 C200e ADVANTEST 93000 C200e ADVANTEST (Verigy) 93000 C200e 2007 TEST 国外
Agilent 4072A Agilent 4072A Agilent 4072A 2004 TEST 国外
Agilent 4073A Agilent 4073A Agilent 4073A 2002 TEST 国外
Agilent 4142B Agilent 4142B Agilent 4142B 2000 TEST 国外
AMAT AKT-3500 AMAT AKT-3500 AMAT应用材料 AKT-3500 2018 CVD 国外
AMAT Centura DPS AMAT Centura DPS AMAT应用材料 Centura DPS 1998 ETCH 国外
AMAT Centura DXZ AMAT Centura DXZ AMAT应用材料 Centura DXZ 1999 CVD 国外
AMAT Centura MXP AMAT Centura MXP AMAT应用材料 Centura MXP 1997 ETCH 国外
AMAT Centura XE AMAT Centura XE AMAT应用材料 Centura XE 2003 RTP 国外
AMAT Centura XE+ AMAT Centura XE+ AMAT应用材料 Centura XE+ 2003 RTP 国外
AMAT P-5000刻蚀机 AMAT P-5000刻蚀机 AMAT应用材料 P-5000 1990 CVD 国外
ASM Eagle10 PLASMA CVD ASM Eagle10 PLASMA CVD ASM Eagle10 1998 CVD 国外
ASM Eagle10 PLASMA CVD ASM Eagle10 PLASMA CVD ASM Eagle10 2007 CVD 国外
AP-CVD AP-CVD Aviza WJ-1000H 1996 CVD 国外
CANON	HP-8800 CANON HP-8800 CANON HP-8800 2001 RTP 国外
CANON	MAS-8200 CANON MAS-8200 CANON MAS-8200 - ETCH 国外
CANON MAS-8220 CANON MAS-8220 CANON MAS-8220 - ETCH 国外
CANON MPA-600FA投影式光刻机 CANON MPA-600FA投影式光刻机 CANON MPA-600FA 1988 PHOTOLITHO 国外
CANON	I-1060SV2 Plus1 CANON I-1060SV2 Plus1 CANON I-1060SV2 Plus1 1999 PVD 国外
CANON	I-1080 PVD CANON I-1080 PVD CANON I-1080PVD 2001 PVD 国外
CANON	ILC-1080 CANON ILC-1080 CANON ILC-1080 2003 PVD 国外
CANON	M-222LD-D CANON M-222LD-D CANON M-222LD-D 2011 OTHERS 国外
DISCO DAD3350晶圆切割机 DISCO DAD3350晶圆切割机 DISCO DAD3350 - DICING 国外
DISCO DCS141清洗机 DISCO DCS141清洗机 DISCO DCS141 - DICING 国外
DISCO DFD-2S/8 DISCO DFD-2S/8 DISCO DFD-2S/8 - DICING 国外
DISCO DFD6240划片机 DISCO DFD6240划片机 DISCO DFD6240 2004 DICING 国外
DISCO DFD6340全自动切割机 DISCO DFD6340全自动切割机 DISCO DFD6340 2008 DICING 国外
EBARA GDC-250SA EBARA GDC-250SA EBARA GDC-250SA 2012 OTHERS 国外
EBARA UFP-100(150A) EBARA UFP-100(150A) EBARA UFP-100(150A) - PLATING 国外
ESI 9350 ESI 9350 ESI 9350 2004 TEST 国外
FILM STRESS MEASURMENT FILM STRESS MEASURMENT FSM FSM-128 2001 METROLOGY 国外
FILM STRESS MEASURMENT FILM STRESS MEASURMENT FSM FSM-128 - METROLOGY 国外
GSI MARK-EM Wafer Marker GSI MARK-EM Wafer Marker GSI MARK-EM 2002 MARKING 国外
HITACHI IML-6-1 HITACHI IML-6-1 HITACHI IML-6-1 1997 ETCH 国外
HITACHI TS-3700扫描电子显微镜 HITACHI TS-3700扫描电子显微镜 HITACHI TS-3700 1990 OTHERS 国外
HITACHI TS-6500 HITACHI TS-6500 HITACHI TS-6500 2000 OTHERS 国外
HITACHI	 FIB-2100聚焦离子束 HITACHI FIB-2100聚焦离子束 HITACHI FB-2100 2002 METROLOGY 国外
HITACHI	IM4000离子研磨仪 HITACHI IM4000离子研磨仪 HITACHI IM4000 2012 ETCH 国外
HITACHI NE4000扫描电子显微镜 HITACHI NE4000扫描电子显微镜 HITACHI NE4000 2011 METROLOGY 国外
HITACHI S-3400N扫描电子显微镜 HITACHI S-3400N扫描电子显微镜 HITACHI S-3400N 2010 METROLOGY 国外
HITACHI S-3700N扫描电子显微镜 HITACHI S-3700N扫描电子显微镜 HITACHI S-3700N 2012 METROLOGY 国外
HITACHI S-4500扫描电子显微镜 HITACHI S-4500扫描电子显微镜 HITACHI S-4500 1996 METROLOGY 国外
HITACHI S-4800扫描电子显微镜 HITACHI S-4800扫描电子显微镜 HITACHI S-4800 2004 METROLOGY 国外
HITACHI TM3000扫描电子显微镜 HITACHI TM3000扫描电子显微镜 HITACHI TM3000 2012 METROLOGY 国外
KEYENCE ML-Z9500/9510 KEYENCE ML-Z9500/9510 KEYENCE ML-Z9500/9510 2008 MARKING 国外
KLA AITⅡ缺陷检测仪 KLA AITⅡ缺陷检测仪 KLA科磊 AITⅡ 1999 METROLOGY 国外
KLA ARCHER200叠对测量系统 KLA ARCHER200叠对测量系统 KLA科磊 ARCHER200 2009 METROLOGY 国外
KLA EDR-5210S晶圆缺陷检查系统 KLA EDR-5210S晶圆缺陷检查系统 KLA科磊 EDR-5210S 2011 METROLOGY 国外
KLA Tencor UV-1280SE薄膜测量系统 KLA Tencor UV-1280SE薄膜测量系统 KLA科磊 UV1280SE 2003 METROLOGY 国外
LIFETIME MEASURING LIFETIME MEASURING KOBELCO LTA-500 1996 METROLOGY 国外
LIFETIME MEASURING LIFETIME MEASURING KOBELCO LTA-550 1991 METROLOGY 国外
WIRE BONDER WIRE BONDER Kulicke & Soffa Maxum ultra 2008 BONDING 国外
WIRE BONDER WIRE BONDER Kulicke & Soffa Maxum ultra 2008 BONDING 国外
LAM  ONE-W PLASMA CVD_W LAM ONE-W PLASMA CVD_W LAM泛林 ONE-W 1995 CVD 国外
LAM TWO PLASMA CVD LAM TWO PLASMA CVD LAM泛林 TWO 2000 CVD 国外
LAM TWO Speed PLASMA CVD LAM TWO Speed PLASMA CVD LAM泛林 TWO Speed 2000 CVD 国外
LAM RST201刻蚀机 LAM RST201刻蚀机 LAM泛林 RST201 1996 WET 国外
LAM RST201刻蚀机 LAM RST201刻蚀机 LAM泛林 RST201 1997 WET 国外
LAM SEZ203晶圆旋转刻蚀机 LAM SEZ203晶圆旋转刻蚀机 LAM泛林 SEZ203 2005 WET 国外
LINTEC RAD-2000M LINTEC RAD-2000M LINTEC RAD-2000M 2005 6" DICING 国外
LINTEC RAD-2000M LINTEC RAD-2000M LINTEC RAD-2000M 2006 8" DICING 国外
LINTEC RAD-2500M LINTEC RAD-2500M LINTEC RAD-2500M 2007 8" MOUNTING 国外
Nanometrics M6100膜厚测定装置 Nanometrics M6100膜厚测定装置 Nanometrics M6100 1998 METROLOGY 国外
Nanometrics NanoSpec9200 Nanometrics NanoSpec9200 Nanometrics NanoSpec9200 2001 METROLOGY 国外
Nanometrics NanoSpec9310 Nanometrics NanoSpec9310 Nanometrics NanoSpec9310 2008 METROLOGY 国外
NIKON NSR 2005i10C光刻机 NIKON NSR 2005i10C光刻机 NIKON NSR-2005i10C 1994 PHOTOLITHO 国外
NIKON NSR 2205EX14C光刻机 NIKON NSR 2205EX14C光刻机 NIKON NSR-2205EX14C 1998 PHOTOLITHO 国外
NIKON NSR 2205EX14C光刻机 NIKON NSR 2205EX14C光刻机 NIKON NSR-2205EX14C 1999 PHOTOLITHO 国外
NIKON NSR 4425i光刻机 NIKON NSR 4425i光刻机 NIKON NSR-4425i 1997 PHOTOLITHO 国外
NuFlare Technology HT2000B NuFlare Technology HT2000B NuFlare Technology HT2000B 2007 CVD 国外
OKAMOTO  SPP-600S GRIND OKAMOTO SPP-600S GRIND OKAMOTO SPP-600S GRIND 1998 CMP 国外

页次: 5 / 9页 每页:500 设备数:4137   9[1][2][3][4][5][6][7][8][9]: 总共有9页

二手半导体设备交易平台semi1688.com
城市分站:北京龙玺精密 | 上海龙玺精密 | 深圳龙玺精密 | 成都龙玺精密 | 天津 | 香港 | 澳门 | 安徽 | 福建 | 广东 | 广西 | 贵州 | 甘肃 | 海南 | 河北 | 河南 | 黑龙江 | 湖北 | 湖南 | 吉林 | 江苏 | 江西 | 辽宁 | 内蒙古 | 宁夏 | 青海 | 陕西 | 山西 | 山东 | 四川 | 台湾 | 西藏 | 新疆 | 云南 | 浙江 | japan longxi | korea longxi | USA longxi
 
关于我们 | 产品中心 | 新闻资讯 | 非标定制 | 技术服务 | 联系我们 | 二手半导体设备采购平台
地址:宁波市奉化区东峰路80号or江苏徐州市贾汪区工业园 电话:18868521984龙先生
邮箱:ljb929@126.com 注:设备状态不定期更新,是否已售出请咨询。
    设备咨询,请加我微信
Copyright © 2019-2024 龙玺精密 版权所有 备案号:浙ICP备2021036227号
VGF单晶炉|高压VGF单晶炉|VTM单晶炉|SIC单晶炉|多晶合成炉|平面抛光机|平面研磨机|双面研磨机和抛光机|曲面抛光机|槽式清洗机|第二代半导体材料全自动清洗机|GASS立式甩干机|多工位甩干机|高温退火炉|低温立式炉|ALD原子层沉积设备|真空封焊炉|磁控溅射镀膜机|高真空多靶磁控溅射镀膜机|卷绕镀膜系统|电阻蒸发镀膜机|电子束蒸发镀膜机|机械手|手套箱|晶体生长设备|研磨抛光设备|材料清洗设备|真空镀膜设备|其他定制设备|二手半导体设备|国内二手半导体设备|国外二手半导体设备|二手半导体设备买卖|二手半导体设备翻新|二手半导体设备维护|二手半导体设备回收|二手半导体设备采购平台|二手半导体设备交易平台|切割机|划片机|光刻机|检测仪|测试仪|扫描电镜|蚀刻机|探针台|二手半导体设备|二手CANON设备|二手NIKON设备|二手DISCO设备|二手ASML设备|二手AMAT设备|二手TEL设备|二手LAM设备|二手KLA设备|二手Semics设备|二手Hitachi设备|二手半导体设备采购平台semi1688.com
VGF单晶炉|高压VGF单晶炉|VTM单晶炉|SIC单晶炉|多晶合成炉|平面抛光机|平面研磨机|双面研磨机|双面抛光机|曲面抛光机|槽式清洗机|第二代半导体材料全自动清洗机|GASS立式甩干机|多工位甩干机|高温退火炉|低温立式炉|ALD原子层沉积设备|真空封焊炉|磁控溅射镀膜机|高真空多靶磁控溅射镀膜机|卷绕镀膜系统|电阻蒸发镀膜机|电子束蒸发镀膜机|机械手|手套箱|晶体生长设备|研磨抛光设备|材料清洗设备|真空镀膜设备|其他定制设备|龙玺精密|国内二手设备|国外二手设备|二手半导体设备|二手设备买卖|二手设备翻新|二手设备回收|CANON二手设备|NIKON二手设备|DISCO二手设备|ASML二手设备|AMAT二手设备|TEL二手设备|LAM二手设备|KLA二手设备|SEMICS二手设备|HITACHI二手设备|芯片|晶圆|封装|半导体|切割机|划片机|光刻机|检测仪|测试仪|半导体设备|半导体封装|扫描电镜|蚀刻机|探针台|扩散炉