二手半导体设备交易平台semi1688.com
首页 CANON NIKON DISCO ASML AMAT TEL LAM KLA SEMICS HITACHI YOKOGAWA
图 片 设备名称 制造商 型号 年份 详细配置 状 态
SUPERCRITICAL RINSER&DRYER SUPERCRITICAL RINSER&DRYER Rexxam SCRD6 2008 WET 国外
Rudolph S200ETCH Rudolph S200ETCH Rudolph S200ETCH 2000 METROLOGY 国外
SCREEN AS2000 SCREEN AS2000 SCREEN AS2000 1998 WET 国外
SCREEN AS2000 SCREEN AS2000 SCREEN AS2000 1998 WET 国外
SCREEN AS2000 SCREEN AS2000 SCREEN AS2000 2000 WET 国外
RTA RTA SCREEN LA-830 - RTP 国外
SCREEN SK-80BW-AVQ SCREEN SK-80BW-AVQ SCREEN SK-80BW-AVQ 1998 PHOTOLITHO 国外
WAFER SCRUBBER WAFER SCRUBBER SCREEN SS-W80A-AR 1995 WET 国外
WAFER SCRUBBER WAFER SCRUBBER SCREEN SS-W80A-AR 2000 WET 国外
WAFER SCRUBBER WAFER SCRUBBER SCREEN SS-W80A-AVR 1998 WET 国外
WAFER SCRUBBER WAFER SCRUBBER SCREEN SS-W80A-AVR 2002 WET 国外
FILM THICKNESS MEASUREMENT FILM THICKNESS MEASUREMENT SOPRA GESP5 2010 METROLOGY 国外
MO CVD MO CVD TAIYO NIPPON SANSO SR64212HKS 2010 CVD 国外
AUTOMATIC VISUAL INSPECTION AUTOMATIC VISUAL INSPECTION TAKANO (TOPCON) Vi-4202 2004 METROLOGY 国外
TAKANO WM-5000 TAKANO WM-5000 TAKANO(TOPCON) WM-5000 2005 METROLOGY 国外
TAKATORI AMR-2200G TAKATORI AMR-2200G TAKATORI AMR-2200G - BACK GRIND 国外
TEL ACT8(2C2D)涂胶显影机 TEL ACT8(2C2D)涂胶显影机 TEL ACT8 1998 PHOTOLITHO 国外
TEL	Alpha-8SE DIFFUSION TEL Alpha-8SE DIFFUSION TEL Alpha-8SE 2005 DIFFUSION 国外
TEL	IW-6C FURNACE_LP-CVD TEL IW-6C FURNACE_LP-CVD TEL IW-6C 1994 FURNACE 国外
TEL	MARK7涂胶显影机 TEL MARK7涂胶显影机 TEL MARK7 1993 WET 国外
TEL	MARK8涂胶显影机 TEL MARK8涂胶显影机 TEL MARK8 1999 PHOTOLITHO 国外
TEL	MARK-V涂胶显影机 TEL MARK-V涂胶显影机 TEL MARK-V 1992 PHOTOLITHO 国外
TEL	MARK-VZ涂胶显影机 TEL MARK-VZ涂胶显影机 TEL MARK-VZ 1998 PHOTOLITHO 国外
TEL	P-12XL TEL P-12XL TEL P-12XL 2002 TEST 国外
TEL	P-12XL TEL P-12XL TEL P-12XL 2002 TEST 国外
TEL	P-12XLn TEL P-12XLn TEL P-12XLn 2006 TEST 国外
TEL	P-8XL TEL P-8XL TEL P-8XL 2000 TEST 国外
TEL	P-8XL TEL P-8XL TEL P-8XL 2004 TEST 国外
TEL	P-8XL TEL P-8XL TEL P-8XL 2008 TEST 国外
TEL	SS-4 TEL SS-4 TEL SS-4 2001 WET 国外
TEL	SS-4 TEL SS-4 TEL SS-4 2003 WET 国外
TEL	TACTRAS TEL TACTRAS TEL TACTRAS 2013 ETCH 国外
TEL	TE5000ATC TEL TE5000ATC TEL TE5000ATC 1992 ETCH 国外
TEL	TE8500(S) TEL TE8500(S) TEL TE8500(S) 2000 ETCH 国外
TEL	TE8500(S)ATC TEL TE8500(S)ATC TEL TE8500(S)ATC 1992 ETCH 国外
TEL	TE8500(S)ATC TEL TE8500(S)ATC TEL TE8500(S)ATC 1993 ETCH 国外
TEL	TE8500(S)ATC TEL TE8500(S)ATC TEL TE8500(S)ATC 1995 ETCH 国外
TEL	TE8500ATC TEL TE8500ATC TEL TE8500ATC 1995 ETCH 国外
TEL	Telius SCCM TEL Telius SCCM TEL Telius SCCM 2000 ETCH 国外
TEL	Unity Me 85D TEL Unity Me 85D TEL Unity Me 85D 2003 ETCH 国外
TEL	UnityII-855II TEL UnityII-855II TEL UnityII-855II 1996 ETCH 国外
TEL	UnityII-855II TEL UnityII-855II TEL UnityII-855II 1996 ETCH 国外
TEL	UnityIIe-655II TEL UnityIIe-655II TEL UnityIIe-655II 2002 ETCH 国外
TEL	UnityII-855II TEL UnityII-855II TEL UnityIIe-855II 1997 ETCH 国外
TEL	UnityII-855II TEL UnityII-855II TEL UnityIIe-855II 2002 ETCH 国外
TEL	UnityIIe-855SS TEL UnityIIe-855SS TEL UnityIIe-855SS 2000 ETCH 国外
TEL	UnityIIe-855SS TEL UnityIIe-855SS TEL UnityIIe-855SS 2006 ETCH 国外
ASHER ASHER TOK TCA-3822 1995 ASHER 国外
ASHER ASHER TOK TCA-3822 1995 ASHER 国外
OXIDE ETCHER OXIDE ETCHER TOK TCE-3822 - ETCH 国外
OXIDE ETCHER OXIDE ETCHER TOK TCE-3822 - ETCH 国外
AUTOMATIC VISUAL INSPECTION AUTOMATIC VISUAL INSPECTION TORAY INSPECTRA-3000TR200M 2015 METROLOGY 国外
ULTRATECH Saturn-SS3 ULTRATECH Saturn-SS3 ULTRATECH Saturn-SS3 2001 PHOTOLITHO 国外
ULVAC EI-7L蒸发台 ULVAC EI-7L蒸发台 ULVAC爱发科 EI-7L - PVD 国外
USHIO PE-250R2HK USHIO PE-250R2HK USHIO PE-250R2HK - PHOTOLITHO 国外
USHIO PE-250T2HM USHIO PE-250T2HM USHIO PE-250T2HM - PHOTOLITHO 国外
USHIO UMA-1002-HC933HD激光扫描仪 USHIO UMA-1002-HC933HD激光扫描仪 USHIO UMA-1002-HC933HD 1998 PHOTOLITHO 国外
LDI设备 3波长(wavelength)/6HEAD LDI设备 3波长(wavelength)/6HEAD SCREEN - 2019 Photo Solder 国外
LDI设备 405波长 (wavelength) LDI设备 405波长 (wavelength) ADTECH - 2016 Resist 国外
LDI设备 3波长(wavelength)/5HEAD LDI设备 3波长(wavelength)/5HEAD SCREEN - 2018 DF 国外
LDI设备 多波长(multi wavelength) LDI设备 多波长(multi wavelength) overtech - 2014 PSR/DF 国外
真空层圧设备 真空层圧设备 LEETEK - 2014 DF 国外
自动露光机/平行光 自动露光机/平行光 Hakuto - 2009 DF 国外
自动露光机/平行光 自动露光机/平行光 Hakuto - 2007 DF 国外
自动露光机/平行光 自动露光机/平行光 ORC - 2004 DF 国外
HITACHI DF层压设备 HITACHI DF层压设备 HITACHI DF层压设备 - PSR/DF 国外
PSR全自动印刷机 PSR全自动印刷机 SERIA - 2005 DF 国外
PSR悬挂干燥机 PSR悬挂干燥机 BMI - 2005 PSR 国外
PSR半自动4轴机 PSR半自动4轴机 SERIA - 2016 PSR 国外
PSR半自动4轴机 PSR半自动4轴机 SDK' - 2018 PSR MARKING 国外
PSR半自动4轴机 PSR半自动4轴机 SDK' - 2017 PSR MARKING 国外
PSR半自动4轴机 PSR半自动4轴机 minongmt - 2010 PSR MARKING 国外
PSR隧道式预干燥机 PSR隧道式预干燥机 SMUV - 2020 PSR MARKING 国外
PSR预处理喷射线 PSR预处理喷射线 SIE - 2007 PSR 国外
PSR现像机 PSR现像机 Taesung - 2009 PSR 国外
PSR最终干燥机 PSR最终干燥机 Taeyang - 1999 PSR 国外
PSR UV干燥机 PSR UV干燥机 SMUV - 2020 PSR 国外
箱式干燥机 箱式干燥机 SMUV - 2020 PSR 国外
自动搬送Conveyor 自动搬送Conveyor Hansong - 2018 PSR 国外
DES(Development Etching Strip )Line DES(Development Etching Strip )Line - - - AUTOMATION 国外
2 Chamber)/Flexible or Rigid 対応 2 Chamber)/Flexible or Rigid 対応 Camellia - 2014 PATTEN 国外
DES(Development Etching Strip )Line DES(Development Etching Strip )Line - - - PATTEN 国外
2 Chamber)/Rigid 対応 2 Chamber)/Rigid 対応 Camellia - 2007 PATTEN 国外
DES(Development Etching Strip )Line DES(Development Etching Strip )Line - - - PATTEN 国外
4 Chamber)/Flexible or Rigid 対応 4 Chamber)/Flexible or Rigid 対応 NTP - 2011 PATTEN 国外
DES(Development Etching Strip )Line DES(Development Etching Strip )Line - - - PATTEN 国外
4 Chamber)/Rigid 対応 4 Chamber)/Rigid 対応 SMC - 2007 LAYER 国外
Half Etching(电镀后) Half Etching(电镀后) Taesung - 2011 LAYER 国外
氧化物(Sheet RTR兼用) 氧化物(Sheet RTR兼用) DUC - 2017 LAYER 国外
外形加工(切断) 外形加工(切断) Daliang - 2013 LAYER 国外
2 轴 X-RAY Drill Machine 2 轴 X-RAY Drill Machine Hansong - 2013 LAYER 国外
2 轴 X-RAY Drill Machine 2 轴 X-RAY Drill Machine Hansong - 2012 LAYER 国外
SUS 前处理装置 SUS 前处理装置 Taesung - 2012 LAYER 国外
自动真空成形装置(6段) 自动真空成形装置(6段) Fusei - 1999 LAYER 国外
自动真空成形装置(6段) 自动真空成形装置(6段) Fusei - 2006 LAYER 国外
冷压液压机(6段) 冷压液压机(6段) Fusei - 1999 COATING 国外
圧力机 圧力机 Fusei - 1999 COATING 国外
Brown Oxide Brown Oxide Keystone - 2011 COATING 国外
2次Lay up设备 2次Lay up设备 ESSENTEK - 2005 COATING 国外
VF电镀装置/15,000张 VF电镀装置/15,000张 TKC - 2009 COATING 国外
VF电镀装置/15,000张 VF电镀装置/15,000张 TKC - 2011 COATING 国外
4轴前处理机 4轴前处理机 Taesung - 2009 COATING 国外
2轴去毛刺设备 2轴去毛刺设备 Taesung - 2012 HARF MACHINE 国外
水平去污设备 水平去污设备 Keystone - - HARF MACHINE 国外
Black Hole Machine Black Hole Machine Keystone - - SPS 国外
Shield Press Machine Shield Press Machine DINGA - 2012 RELIABILITY 国外
Shield Press Machine Shield Press Machine VISION KOREA - 2016 RELIABILITY 国外
PCB BONDING Machine PCB BONDING Machine Hansong - 2003 RELIABILITY 国外
3次元测定器 3次元测定器 MicroVu - 2018 - 国外
2010/2009 2010/2009 - - - - 国外
3次元测定器 3次元测定器 MicroVu - 2005 - 国外
电镀测厚仪 电镀测厚仪 SEIKO - 2012 - 国外
Plasma Therm Versaline ICP-RIE #2 PSS ICP 4 Plasma Therm Versaline ICP-RIE #2 PSS IC Plasma Therm Versaline ICP-RIE #2 PSS - As-is 国外
AMSL XT760F KrF Scanner AMSL XT760F KrF Scanner AMSL XT760F KrF Scanner - As-is 国外
EBARA EPO 222 CMP EBARA EPO 222 CMP EBARA EPO-222 CMP - As-is 国外
Oxford Plasmalab System 100 RIE+PECVD Oxford Plasmalab System 100 RIE+PECVD OXFORD牛津 Plasmalab System 100 RIE+PECVD - As-is 国外
DNS SU3200 DNS SU3200 DNS SU3200 - As-is 国外
LAM RAINBOW 4420等离子刻蚀机 LAM RAINBOW 4420等离子刻蚀机 LAM泛林 RAINBOW 4420 - 6" As-is 国外
KLA Tencor 2552缺陷数据分析处理仪 KLA Tencor 2552缺陷数据分析处理仪 KLA科磊 2552 - As-is 国外
USHIO UX-4440 Aligner USHIO UX-4440 Aligner USHIO UX-4440 Aligner - As-is 国外
CHA Revolution CHA Revolution CHA Revolution - As-is 国外
ULVAC EX W300多腔溅射设备 ULVAC EX W300多腔溅射设备 ULVAC爱发科 EX W300 - As-is Multi-ch(8ch)Sputtering 国外
ULVAC EI-7K蒸发台 ULVAC EI-7K蒸发台 ULVAC爱发科 EI-7K - As-is 国外
ULVAC EI-5K蒸发台 ULVAC EI-5K蒸发台 ULVAC爱发科 EI-5K - As-is 国外
ULVAC Chamber(5CH) ULVAC Chamber(5CH) ULVAC爱发科 Chamber(5CH) - 12" As-is 国外
EBARA F-REX300S CMP EBARA F-REX300S CMP EBARA F-REX300S CMP - 12" FULL REPUB 国外
Maxis 300LA ICP Maxis 300LA ICP Maxis 300LA ICP - 12" As-is 国外
Nippon Sanso Nippon Sanso Nippon Sanso - 6" As-is 国外
Aixtron Crius II MOCVD设备 Aixtron Crius II MOCVD设备 Aixtron Crius II - As-is 国外
DISCO DFD641划片机 DISCO DFD641划片机 DISCO DFD641 - 8"Working 国外
Oxford Plasma Pro NGP1000 Oxford Plasma Pro NGP1000 OXFORD牛津 Plasma Pro NGP1000 - 12" As-is 国外
AMAT Centura DPS+ Poly Etch AMAT Centura DPS+ Poly Etch AMAT应用材料 Centura DPS+ Poly Etch - As-is 国外
AMAT Producer-GT CVD AMAT Producer-GT CVD AMAT应用材料 Producer-GT CVD - As-is 国外
AMAT mirra MESA CMP AMAT mirra MESA CMP AMAT应用材料 mirra MESA CMP - FULL REPUB 国外
AMAT P5000刻蚀机 AMAT P5000刻蚀机 AMAT应用材料 P5000 - 8" REFURB 国外
AMAT P5000刻蚀机 AMAT P5000刻蚀机 AMAT应用材料 P5000 - FULL REPUB 国外
HITACHI HL7800M HITACHI HL7800M HITACHI HL7800M - As-is 国外
HITACHI HL8000M HITACHI HL8000M HITACHI HL8000M - As-is 国外
HITACHI S-4800扫描电子显微镜 HITACHI S-4800扫描电子显微镜 HITACHI S4800 - working 国外
HITACHI FESEM S4700 II扫描电子显微镜 HITACHI FESEM S4700 II扫描电子显微镜 HITACHI FESEM S4700 II - FULL REPUB 国外
HITACHI FESEM S4700 II扫描电子显微镜 HITACHI FESEM S4700 II扫描电子显微镜 HITACHI FESEM S4700 II - Working 国外
HITACHI S-9260A扫描电子显微镜 HITACHI S-9260A扫描电子显微镜 HITACHI S-9260A - 8" FULL REPUB CDSEM 国外
TEL P-12XL Probe TEL P-12XL Probe TEL P-12XL Probe - 12" As-is 国外
TEL MARK-VZ涂胶显影机 TEL MARK-VZ涂胶显影机 TEL MARK-VZ - As-is 2C2D 国外
TEL ACT8 2C4D, Double Block TEL ACT8 2C4D, Double Block TEL ACT8 2C4D, Double Block - working 国外
TEL MARK8涂胶显影机 TEL MARK8涂胶显影机 TEL MARK8 - working track (2c1d) 国外
TEL MARK7涂胶显影机 TEL MARK7涂胶显影机 TEL MARK7 - 200 As-is Single Block 国外
TEL MARK8涂胶显影机 TEL MARK8涂胶显影机 TEL MARK8 - 200 As-is Single Block 国外
TEL ACT12 Single Block TEL ACT12 Single Block TEL ACT12 Single Block - 300 As-is 国外
TEL ACT8 Single Block TEL ACT8 Single Block TEL ACT8 Single Block - 200 As-is 国外
CANON FPA-3000i4步进式光刻机 CANON FPA-3000i4步进式光刻机 CANON FPA-3000i4 - Working 国外
NIKON NSR S205C光刻机 NIKON NSR S205C光刻机 NIKON NSR-S205C - As-is 国外
NIKON NSR SF200光刻机 NIKON NSR SF200光刻机 NIKON NSR-SF200 2003 Working 国外
NIKON NSR 207D光刻机 NIKON NSR 207D光刻机 NIKON NSR-207D - working 国外
NIKON NSR 2205i12D光刻机 NIKON NSR 2205i12D光刻机 NIKON NSR-2205i12D - Initial Condition 国外
NIKON NSR 2005i9C步进式光刻机 NIKON NSR 2005i9C步进式光刻机 NIKON NSR-2005i9C - Working 国外
NIKON NSR 2205 EX12B光刻机 NIKON NSR 2205 EX12B光刻机 NIKON NSR-2205EX12B - As-is 国外
NIKON NSR 1505G7E光刻机 NIKON NSR 1505G7E光刻机 NIKON NSR-1505G7E - 6" Working 国外
NIKON NSR 2205i12D光刻机 NIKON NSR 2205i12D光刻机 NIKON NSR-2205i12D - 6"reticle(SMIF), Inline with ACT1 国外
NIKON NSR 2005i9C步进式光刻机 NIKON NSR 2005i9C步进式光刻机 NIKON NSR-2005i9C - - 国外
NIKON NSR 2205 EX12B光刻机 NIKON NSR 2205 EX12B光刻机 NIKON NSR-2205EX12B - Available Dec, 2021, w/SMIF 国外
NIKON NSR 1505G7E光刻机 NIKON NSR 1505G7E光刻机 NIKON NSR-1505G7E - w/cymer laser, w/SMIF 国外
Advantest T5375 ATE Advantest T5375 ATE Advantest T5375 - single head with PM option 国外
KARL SUSS MA200光刻机 KARL SUSS MA200光刻机 KARL SUSS MA200 1989 8" Holder , 2x Optic Lens(5x, 10x 国外
AMAT Centura DPS2 Metal AMAT Centura DPS2 Metal AMAT应用材料 Centura DPS2 Metal 2005 EFEM(NT, Yaskawa), 3x G2 Metal, 1 国外
AMAT Centura Axiom Chamber AMAT Centura Axiom Chamber AMAT应用材料 Centura Axiom Chamber 2006 Axiom Only (w/VODM) 国外
Mattson Helios RTP Mattson Helios RTP Mattson Helios 2009 3x TDK TAS300 Load port, 2x Chabm 国外
Mattson Helios RTP Mattson Helios RTP Mattson Helios 2004 1. Chamber Qty. =2 2. transforme 国外
Mattson Helios RTP Mattson Helios RTP Mattson Helios 2004 3x TDK TAS300 Load port, 2x Chabm 国外
Mattson Helios RTP Mattson Helios RTP Mattson Helios 2005 3x TDK TAS300 Load port, 2x Chabm 国外
Agilent 4073B ATE Agilent 4073B ATE Agilent 4073B 2005 48Pin / SMU:HRSMU(x2), MPSMU(x6) 国外
Agilent 4073A ATE Agilent 4073A ATE Agilent 4073A 2001 32pin(of 32pins, 4pins fail), MP 国外
Agilent 4073B ATE Agilent 4073B ATE Agilent 4073B 2011 48pin, SMU7[MPSMU(4), HPSMU(1), H 国外
AMAT P5000刻蚀机 AMAT P5000刻蚀机 AMAT应用材料 P5000 1988 CVD Mark1, 3x DLH 国外
TEL	LITHIUS Pro-i TEL LITHIUS Pro-i TEL LITHIUS Pro-i 2007 9COT 3DEV with many CCHA bake and 国外
TEL LITHIUS涂胶显影机 TEL LITHIUS涂胶显影机 TEL LITHIUS 2007 5C5D, Inlined type(AT-850F), FOUP 国外
AMAT Reflexion FA AMAT Reflexion FA AMAT应用材料 Reflexion FA 2005 CMP 国外
KARL SUSS CBC200 KARL SUSS CBC200 KARL SUSS CBC200 2013 Cluster Frame, Process Module x4, 国外
TERADYNE MAGNUM2X 2x GVLC TERADYNE MAGNUM2X 2x GVLC TERADYNE MAGNUM2X 2x GVLC - Frame Only. No board included / i 国外
TERADYNE MAGNUM2X SSV TERADYNE MAGNUM2X SSV TERADYNE MAGNUM2X SSV - [Missing indicated] : Base Board 国外
KARL SUSS CB200M KARL SUSS CB200M KARL SUSS CB200M 2012 Process chamber, Transfer Unit. B 国外
AMAT Vantage 5 AMAT Vantage 5 AMAT应用材料 Vantage 5 2012 RTP 国外
KLA Viper 2435 KLA Viper 2435 KLA科磊 Viper 2435 2006 - 国外
KLA Viper 2438 KLA Viper 2438 KLA科磊 Viper 2438 2008 - 国外
TERADYNE IP750测试系统 TERADYNE IP750测试系统 TERADYNE IP750 2000 512ch head(1), ws(COMPAQ Evo W800 国外
TERADYNE IP750测试系统 TERADYNE IP750测试系统 TERADYNE IP750 2000 512ch head(1), ws(PFU Limited PDS 国外
TERADYNE IP750测试系统 TERADYNE IP750测试系统 TERADYNE IP750 2000 512ch head(1), ws(COMPAQ Evo W800 国外
TERADYNE IP750EP测试系统 TERADYNE IP750EP测试系统 TERADYNE IP750EP 2002 512ch head(1), ws(PFU limited PDS 国外
TERADYNE IP750测试系统 TERADYNE IP750测试系统 TERADYNE IP750 2000 Power conditioner(1ea, small type 国外
TERADYNE UltraFLEX TERADYNE UltraFLEX TERADYNE UltraFLEX 2011 Z800(W/S)36 slot Testhead . HSD-I 国外
TERADYNE J750EX测试系统 TERADYNE J750EX测试系统 TERADYNE J750EX 2015 1024ch size Large TH, DPS(2), CUB 国外
TERADYNE IP750EX测试系统 TERADYNE IP750EX测试系统 TERADYNE IP750EX 2001 HEAD(Modified from J750), Rack(Ma 国外
TERADYNE J750测试系统 TERADYNE J750测试系统 TERADYNE J750 2004 韩国 Main, Head, W/S(XW8400), Power 国外
SMT SMT Famecs FMBL-200AND-SHE 2013 - 国外
TERADYNE IP750测试系统 TERADYNE IP750测试系统 TERADYNE IP750 2000 512ch head(1), ws(PFU limited PDS 国外
TERADYNE IP750S测试系统 TERADYNE IP750S测试系统 TERADYNE IP750S - 512ch head(1), ws(PFU limited PDS 国外
PKG PKG Musashi AWATRON2 AW-MV310 2013 Vacuum Pump : 2Torr, 100l/min. 国外
NIKON N-SIS超分辨率显微镜 NIKON N-SIS超分辨率显微镜 NIKON N-SIS 5 - - 国外
NIKON N-SIS超分辨率显微镜 NIKON N-SIS超分辨率显微镜 NIKON N-SIS 5 - - 国外
NIKON N-SIS超分辨率显微镜 NIKON N-SIS超分辨率显微镜 NIKON N-SIS 5 - - 国外
NIKON N-SIS超分辨率显微镜 NIKON N-SIS超分辨率显微镜 NIKON N-SIS 5 - - 国外
NIKON N-SIS超分辨率显微镜 NIKON N-SIS超分辨率显微镜 NIKON N-SIS 5 - - 国外
TEL	Trias CVD TEL Trias CVD TEL Trias 2004 4 x CVD TiN, 3 x load port FI ro 国外
TEL	Trias CVD TEL Trias CVD TEL Trias 2011 Trias E+, UV RF CH x3 国外
TEL	Trias CVD TEL Trias CVD TEL Trias 2006 Ti Ch x2, TiN Ch x2, Stage Heater 国外
TEL	Trias CVD TEL Trias CVD TEL Trias 2012 3CH 国外
TEL	Trias CVD TEL Trias CVD TEL Trias 2013 EXII ALD TiN 1CH System 国外
TEL	Trias SPA CVD TEL Trias SPA CVD TEL Trias SPA 2010 LM+TM+AC Rack, Missing: PM 国外
ACCRETECH UF3000探针台 ACCRETECH UF3000探针台 ACCRETECH东京精密 UF3000 2007 Right Single Loader, Hot Nickel C 国外
AXCELIS RapidCure 320FC Track AXCELIS RapidCure 320FC Track AXCELIS RapidCure 320FC 2007 - 国外
NITTO HR8500II撕膜机 NITTO HR8500II撕膜机 NITTO HR8500II 2002 5,6,8" Universal Chuck, Loader/Un 国外
AMAT Centura DPS2 Metal AMAT Centura DPS2 Metal AMAT应用材料 Centura DPS2 Metal 2005 EFEM(Server, Yaskawa), 3x G2 Meta 国外
AMAT Producer GT CVD AMAT Producer GT CVD AMAT应用材料 Producer GT 2011 3 Twin(HARP USG, RPC_FI80131), FI 国外
AMAT Producer GT Chamber CVD AMAT Producer GT Chamber CVD AMAT应用材料 Producer GT Chamber 2010 1 Twin CH(ACL) only 国外
KLA Spectra FX200薄膜量测 KLA Spectra FX200薄膜量测 KLA科磊 FX200 2006 [Power-on] 2port(TDK), Yaskawa(Al 国外
Micromanipulator 9000-VIT Micromanipulator 9000-VIT Micromanipulator 9000-VIT 2005 - 国外
TEL	TSP 305 SCCM TE Etch TEL TSP 305 SCCM TE Etch TEL TSP 305 SCCM TE 2007 3x TE configured 国外
Component Component Blue M DCC-206-EV-ST350 1999 - 国外
EBARA EST 300 EBARA EST 300 EBARA EST-300 - - 国外
EBARA EST200WN EBARA EST200WN EBARA EST-200WN - - 国外
Metrology Metrology VLSI Standard PDS-100 2000 Particle Counters in Metrology Eq 国外
Advantest 83000 ATE Advantest 83000 ATE Advantest 83000 2000 - 国外
Advantest 83000 ATE Advantest 83000 ATE Advantest 83000 - - 国外
Advantest 83000 ATE Advantest 83000 ATE Advantest 83000 - - 国外
Metrology Metrology VEECO V220SI - - 国外
Component Component ITS Single Mix Tank - - 国外
Electroglas EG2001X ATE Electroglas EG2001X ATE Electroglas EG2001X - - 国外
Component Component Blue M RG-3010F-2 - System S/N: R2-606 国外
Steag ElectroDep 2000 Etch Steag ElectroDep 2000 Etch Steag ElectroDep 2000 2000 - 国外
AG Associates Steag Etch AG Associates Steag Etch AG Associates Steag - - 国外
Component Component Oryx M65X - - 国外
Component Component Oryx M65X - - 国外
Electroglas EG4090u ATE Electroglas EG4090u ATE Electroglas EG4090u 1999 Tool was functional when powered 国外
Electroglas EG4090u ATE Electroglas EG4090u ATE Electroglas EG4090u 1999 Tool was functional when powered 国外
Electroglas EG4090u ATE Electroglas EG4090u ATE Electroglas EG4090u 1999 Tool was functional when powered 国外
Electroglas EG4090u ATE Electroglas EG4090u ATE Electroglas EG4090u 2004 Tool was functional when powered 国外
Metrology Metrology PMS Lasair 110 2013 - 国外
WET WET GTX Wet Bench - - 国外
Kinetic Systems 9101-21-21 Kinetic Systems 9101-21-21 Kinetic Systems 9101-21-21 1994 - 国外
Component Component Akrion UP V2 MP.2000 - Bagged & Skidded in warehouse 国外
Component Component Thermo Fisher Scientific FED720 - - 国外
Component Component Feedmatic Vacuum Sealer 1997 - 国外
Component Component Akrion UP V2 MP.2000 1999 Bagged & Skidded in warehouse 国外
KLA CRS1010 KLA CRS1010 KLA科磊 CRS1010 1998 Microscope 国外
WET WET Autoclean ISG-2000 - - 国外
Component Component Akrion UP V2 MP.2000 2000 - 国外
Component Component Akrion UP V2 MP.2000 2000 Bagged & Skidded in warehouse 国外
Component Component Akrion UP V2 MP.2000 - Main system 1, Fire suppression 2 国外
Component Component Sonicor Instrument TS-2404/402424H - - 国外
Unknown	Parts Clean Box-Exhaust Unknown Parts Clean Box-Exhaust Unknown Parts Clean Box-Exhausted 1995 for Parts Cleaning 国外
LAM Synergy CMP LAM Synergy CMP LAM泛林 Synergy 1997 - 国外
WET WET Akrion MP-2000 2000 Unhooked, dismatlted and packed 国外
HITACHI AS5000 HITACHI AS5000 HITACHI AS5000 1997 Metrology / SEMs in?Microscopes, 国外
Component Component Thermo Fisher Scientific FED720 - - 国外
LASERTEC PEGSIS P100 LASERTEC PEGSIS P100 LASERTEC PEGSIS P100 2011 - 国外
Component Component Thermo Fisher Scientific FD400 1995 - 国外
Component Component Jackson Automation EXHAUST BOX 2000 Implant / Clean Room Equipment in 国外
Component Component Jackson Automation Exhaust Cabinet - - 国外
Component Component Blue M DCC206CY 1995 - 国外
Component Component Jackson Automation Exhaust Cabinet - - 国外
Metrology Metrology JEOL JWS-7515 1999 - 国外
WET WET Pan Abrasives KS9090WB/SS4 - - 国外
AG Associates Extraction, Amine AG Associates Extraction, Amine AG Associates Extraction, Amine 2000 - 国外
Component Component Thermo Fisher Scientific FD400 - - 国外
Component Component Thermo Fisher Scientific FED720 - - 国外
Nanometrics Q200I Nanometrics Q200I Nanometrics Q200I 2000 2 X Indexer 国外
Component Component NCB Network NF200 2007 - 国外
KLA Polylite 88 KLA Polylite 88 KLA科磊 Polylite 88 - - 国外
Component Component Blue M DCC206CY - - 国外
Temptronic TPO4000A-2B21-2 ATE Temptronic TPO4000A-2B21-2 ATE Temptronic TPO4000A-2B21-2 2008 location : Singapore 国外
PKG PKG Blue M DCC-1406CY 1995 Convection Bake Oven 国外
Semilab SPVCMS4000 Semilab SPVCMS4000 Semilab SPVCMS4000 1995 - 国外
Sonicar TS-12047HC Sonicar TS-12047HC Sonicar TS-12047HC - - 国外
SMT SMT UNIVERSAL PLASTICS Solvent Bench 1995 Heating of Flow-Flashpoint Solven 国外
Metrology Metrology JEOL JWS-7555 2002 - 国外
Metrology Metrology JEOL JWS-7555 2000 - 国外
Furnace Furnace BTU TCAS 181-7-72-E-36 2001 Controlled Atmosphere Furnace 国外
KARL SUSS XBC300晶圆键合机 KARL SUSS XBC300晶圆键合机 KARL SUSS XBC300 - 300mm Wafer/ Glass Bonder 国外
SCREEN SU-3100 WET SCREEN SU-3100 WET SCREEN SU-3100 2011 8 chambers BEOL single wafer clea 国外
TEL	Alpha-303i Furnace TEL Alpha-303i Furnace TEL Alpha-303i - VMM-56-002, 2 boats, SiH4, PH3, C 国外
AMAT Centura Enabler Etch AMAT Centura Enabler Etch AMAT应用材料 Centura Enabler 2007 - 国外
Component Component Adixen APR4300 2014 In crate, In warehouse 国外
Component Component Adixen APR4300 2014 Unhooked. In warehouse 国外
Advantest 83000 Advantest 83000 Advantest 83000 2000 Tester, F330t , 256 pins 国外
Advantest 83000 Advantest 83000 Advantest 83000 2000 Tester, F330t , 128 pins 国外
Agilent 81110A Agilent 81110A Agilent 81110A - - 国外
KOKUSAI DJ-1206VN-DM KOKUSAI DJ-1206VN-DM KOKUSAI DJ-1206VN-DM 2006 - 国外
TEL	Alpha-303i Furnace TEL Alpha-303i Furnace TEL Alpha-303i - VMM-56-002, 2 boats 国外
TEL	Alpha-303i Furnace TEL Alpha-303i Furnace TEL Alpha-303i - VMM-56-002, 2 boats, SiH4, PH3, C 国外
TEL	Alpha-303i Furnace TEL Alpha-303i Furnace TEL Alpha-303i - VMM-56-002, 2 boats, SiH4, PH3, C 国外
Component Component KINIK BI2 - - 国外
SCREEN SU-3100 WET SCREEN SU-3100 WET SCREEN SU-3100 2011 8 chambers single wafer clean 国外
SCREEN SU-3100 WET SCREEN SU-3100 WET SCREEN SU-3100 2013 8 chambers single wafer clean 国外
Jordan Valley	JVX6200i Jordan Valley JVX6200i Jordan Valley JVX6200i 2011 - 国外
Metrology Metrology Toray HS-830 2010 - 国外
TEL	nFusion 700 Implant TEL nFusion 700 Implant TEL nFusion 700 2013 - 国外
Novellus Inova NExT PVD Novellus Inova NExT PVD Novellus Inova NExT 2007 3ports, 4x Ti, 2x AlCu, Degas 国外
AXCELIS COMPACT II Furnace AXCELIS COMPACT II Furnace AXCELIS COMPACT II - - 国外
Semitool Raider ECD310 Semitool Raider ECD310 Semitool Raider ECD310 2006 Capsule (Cleanning Chamber)x4, EC 国外
Metrology Metrology PSS AccuSizer APS 780 2006 - 国外
Semitool Raider ECD310 Semitool Raider ECD310 Semitool Raider ECD310 2007 - 国外
Semitool Raider ECD310 Semitool Raider ECD310 Semitool Raider ECD310 2007 - 国外
Rudolph WV320 Rudolph WV320 Rudolph WV320 2007 - 国外
Metrology Metrology Phoenix Micromex SE 160T 2009 Xray tool 国外
KOKUSAI DD-1236VN-DF KOKUSAI DD-1236VN-DF KOKUSAI DD-1236VN-DF - "need exact model name"
DJ-1236V
国外
KOKUSAI DD-1223VN KOKUSAI DD-1223VN KOKUSAI DD-1223VN - Single boat, CX5000 国外
Furnace Furnace ASM A412 2011 2boats 国外
Mattson Steag Etch Mattson Steag Etch Mattson Steag 2002 - 国外
AMAT Centura Chamber Etch AMAT Centura Chamber Etch AMAT应用材料 Centura Chamber 2010 2 x Minos, 1 x Carina, 1 x Axion, 国外
TEL	Trias CVD TEL Trias CVD TEL Trias 2010 - 国外
AXCELIS Optima HDxT Implant AXCELIS Optima HDxT Implant AXCELIS Optima HDxT 2011 Axcelis Optima HDxT

Roughing
国外
Component Component Chiron XACT-830 - - 国外
KLA Viper 2438 KLA Viper 2438 KLA科磊 Viper 2438 2010 - 国外
KLA Tencor ES31晶圆检查系统 KLA Tencor ES31晶圆检查系统 KLA科磊 ES31 2004 E-beam Inspection / SEMs in Micro 国外
GEMETEC Elymat III GEMETEC Elymat III GEMETEC Elymat III - - 国外
AMAT NanoSEM 3D Metrology AMAT NanoSEM 3D Metrology AMAT应用材料 NanoSEM 3D 2002 MULTIPLE UNITS AVAILABLE. PLEASE 国外
AMAT NanoSEM 3D Metrology AMAT NanoSEM 3D Metrology AMAT应用材料 NanoSEM 3D 2002 ULTIPLE UNITS AVAILBLE. PLEASE I 国外
Multiprobe MP1 ATE Multiprobe MP1 ATE Multiprobe MP1 2006 Condition Very Good, tool for mi 国外
Novellus Inova NExT PVD Novellus Inova NExT PVD Novellus Inova NExT 2015 3ports, 2x AlCu, 2x Ti, Degas 国外
Metrology Metrology HSEB AXIOSPECT 301 - - 国外
Etch Etch Shibaura CDE300 - Main mini environment Platform, E 国外
WET WET Semitool Raider SP 2006 - 国外
KARL SUSS HVMMFT KARL SUSS HVMMFT KARL SUSS HVMMFT - - 国外
KARL SUSS HVMMFT KARL SUSS HVMMFT KARL SUSS HVMMFT - - 国外
Kinetic Systems Megapure 6001 HC Kinetic Systems Megapure 6001 HC Kinetic Systems Megapure 6001 HC - - 国外
Component Component Adixen APR4300 2012 4 process chambers, 1 load port, 国外
AMAT Centura Enabler Chamber Etch AMAT Centura Enabler Chamber Etch AMAT应用材料 Centura Enabler Chamber 2004 Condition : Very Good , CE Marked 国外
AMAT Vantage Vulcan RTP AMAT Vantage Vulcan RTP AMAT应用材料 Vantage Vulcan 2013 2 Chamber RTP System 国外
Metrology Metrology SOPRA EP12 - - 国外
Advantest 83000 Advantest 83000 Advantest 83000 2000 Tester, F330t , 64 pins 国外
SemiProbe PS4L FA-12 ATE SemiProbe PS4L FA-12 ATE SemiProbe PS4L FA-12 2012 with FOUP capablity 国外
Track Track SCREEN DT-3000 2015 DUO 4 CUP + 12 PCDH + 6 CP 4 CUP 国外
TEL RLSA-H Chambers Etch TEL RLSA-H Chambers Etch TEL RLSA-H Chambers 2011 Dry Etch, Bx-, Cx-, E1 layers, 30 国外
E.A. Fischione 2040 E.A. Fischione 2040 E.A. Fischione Instruments 2040 - - 国外
Waters 2695 Waters 2695 Waters 2695 - - 国外
Component Component Blue M DCC206CY - - 国外
AMAT Producer GT Chamber CVD AMAT Producer GT Chamber CVD AMAT应用材料 Producer GT Chamber 2014 Parts Machine: 1 x Proudcer GT ch 国外
Mattson Helios RTP Mattson Helios RTP Mattson Helios 2007 - 国外
Metrology Metrology FEI Ex-Situ Plucker 2009 - 国外
HITACHI HF-2000电子显微镜 HITACHI HF-2000电子显微镜 HITACHI HF-2000 1995 200KeV Advanced Analytical TEM 国外
Novellus Inova NExT PVD Novellus Inova NExT PVD Novellus Inova NExT 2013 3ports, 2x TiN, 2x Degas 国外
Etch Etch Nippon Scientific PS102W - - 国外
Metrology Metrology Carl Zeiss LEA1530 2002 FIELD EMISSION SCANNING ELECTRON 国外
Metrology Metrology FEI ExSolve 2 WTP EFEM 2017 Main system, Handler (2ports), Pr 国外
Metrology Metrology FEI Ex-Situ Plucker 2008 - 国外
Metrology Metrology JEOL ARM200CF Super X 2014 Main system 国外
Component Component E.A. Fischione Instruments 1030 2005 System S/N: 14 国外
ANCOSYS P13010 ANCOSYS P13010 ANCOSYS P13010 2011 - 国外
CHECKPOINT 300 TDE CHECKPOINT 300 TDE CHECKPOINT 300 TDE 2011 Top down OBIRCH/InGaAs/LTM 9 Ghz 国外
Metrology Metrology Hermes Microvision eP4 2017 - 国外
HITACHI M-8190XT Etch HITACHI M-8190XT Etch HITACHI M-8190XT 2013 3 Chambers 国外
Metrology Metrology Jordan Valley JVX7300 2012 TFM_THK_JV 国外
LYNCEE TEC Holographic Microscope LYNCEE TEC Holographic Microscope LYNCEE TEC Holographic Microscope 2012 - 国外
TERADYNE Probe Card Interface TERADYNE Probe Card Interface TERADYNE Probe Card Interface 2011 - 国外
TEL	Tactras Vigus-0 Etch TEL Tactras Vigus-0 Etch TEL Tactras Vigus-0 2010 NCCP 国外
TEL	Certas LEAGA Etch TEL Certas LEAGA Etch TEL Certas LEAGA 2016 In a line. SW V1.90, Certas LEAGA 国外
Component Component VWR Scientific 1601 2011 - 国外
AMAT Olympia CVD AMAT Olympia CVD AMAT应用材料 Olympia 2015 2Ch ALD System, Single chamber, I 国外
KOKUSAI DJ-1206VN-DM KOKUSAI DJ-1206VN-DM KOKUSAI DJ-1206VN-DM - - 国外
KOKUSAI DJ-1206VN-DM KOKUSAI DJ-1206VN-DM KOKUSAI DJ-1206VN-DM - - 国外
AMAT ACMS XT II Component AMAT ACMS XT II Component AMAT应用材料 ACMS XT II 2005 - 国外
AMAT ACMS0XT-ASG-E Component AMAT ACMS0XT-ASG-E Component AMAT应用材料 ACMS0XT-ASG-E 2006 - 国外
AMAT UVision 5 Metrology AMAT UVision 5 Metrology AMAT应用材料 UVision 5 2011 - 国外
AMAT UVision 5 Metrology AMAT UVision 5 Metrology AMAT应用材料 UVision 5 2012 300mm G1 Load Port 2
Moving Monr
国外
Nanometrics Q200I Nanometrics Q200I Nanometrics Q200I 2002 2 X Indexer 国外
LASERTEC BI100 LASERTEC BI100 LASERTEC BI100 2017 - 国外
Metrology Metrology RORZE RSR160 2017 - 国外
Component Component Brooks M1900 2018 - 国外
MCC ABES-V ATE MCC ABES-V ATE MCC ABES-V 2001 BI tool (ambient / high temp only 国外
MCC ABES-V ATE MCC ABES-V ATE MCC ABES-V 2001 single slot screen tool (ambient 国外
Track Track SCREEN DT-3000 2013 DUO 4 CUP + 12 PCDH + 6 CP 4 CUP 国外
AMAT Centura Carina Chamber  Etch AMAT Centura Carina Chamber Etch AMAT应用材料 Centura Carina Chamber - Chamber Only.
Carina Etch Chambe
国外
Micro Control	Abes Memory PreScreener Micro Control Abes Memory PreScreener Micro Control Abes Memory PreScreener 2000 System S/N: 112, SoftwareVersion 国外
Micro Control	Abes Memory PreScreener Micro Control Abes Memory PreScreener Micro Control Abes Memory PreScreener 1999 SoftwareVersion :OS/2 国外
Micro Control	Abes Memory Test Tool Micro Control Abes Memory Test Tool Micro Control Abes Memory Test Tool 1999 2 Chambers, 16 slots each 国外
Micro Control	WRP256 ATE Micro Control WRP256 ATE Micro Control WRP256 1999 2 Chamber 8 Slots Each 国外
Micro Control	WRP256 ATE Micro Control WRP256 ATE Micro Control WRP256 1996 SoftwareVersion :OS/2 国外
Camtek X- ACT Metrology Camtek X- ACT Metrology Camtek X- ACT 2012 - 国外
Olympus PMG3 Olympus PMG3 Olympus PMG3 - - 国外
Semilab PS-2000 Semilab PS-2000 Semilab PS-2000 2015 - 国外
Component Component ABB IRB120 2016 - 国外
Component Component Advenced Control Advenced Control 2001 - 国外
Component Component Advenced Control Advenced Control 2006 MEE200/WET200 CMS historical data 国外
DISCO DFG821划片机 DISCO DFG821划片机 DISCO DFG821/F8 1993 - 国外
Metrology Metrology Bruker Insight 3D 2017 AFM, Idle in a fab, SW 8.91b36, M 国外
Component Component Chemical Safety Technology, In Dual drum waste Cabinet - - 国外
Metrology Metrology Met One 3313 - - 国外
Component Component Revco ULT 2140-5- D30 -40C - - 国外
PKG PKG Royal Sovereign RSL-2702 - - 国外
Component Component VWR Scientific 61161-326 - - 国外
Component Component VWR Scientific 1610 - - 国外
ENTEGRIS LPDF40SS4 ENTEGRIS LPDF40SS4 ENTEGRIS LPDF40SS4 2019 - 国外
KOKUSAI DD-1223V KOKUSAI DD-1223V KOKUSAI DD-1223V 2014 DD-1223VN-DF/ QUIXACE-II D2 ALLOY 国外
FSI ORION WET FSI ORION WET FSI ORION 2011 - 国外
Component Component ESCO EHWS 8C - - 国外
ASML XT1250B光刻机 ASML XT1250B光刻机 ASML XT1250B 2005 - 国外
Component Component Empire Abrasive Equipment PF-2632 M-02522 2006 Blaster leaks at hopper and door 国外
Track Track SCREEN DT-3000 2015 DUO 4 CUP + 12 PCDH + 6 CP 4 CUP 国外
WET WET FSI Antares 2002 - 国外
WET WET Semitool Spectrum 300 2012 - 国外
AXCELIS Summit 300XT RTP AXCELIS Summit 300XT RTP AXCELIS Summit 300XT 2003 - 国外
Component Component Blue M RG-3010F-2 - - 国外
Component Component Wilt Industries 4106 - - 国外
Component Component Denton Infinity 18 2002 - 国外
Micro Control	WRP256 ATE Micro Control WRP256 ATE Micro Control WRP256 1999 - 国外
Metrology Metrology Carl Zeiss Axiotron-2 - - 国外
Metrology Metrology Carl Zeiss Axiotron-2 - - 国外
Component Component FEI Meridian-IV 2013 - 国外
Component Component FSI PWB-48X38X64-3E 2011 - 国外
Component Component FSI PWB-48X38X64-3E 2011 - 国外
Component Component FSI PWB-48X38X64-3E 2011 - 国外
AMAT Endura II PVD AMAT Endura II PVD AMAT应用材料 Endura II 2006 1x DSTTN 已售出
Metrology Metrology FEI Ex-Situ Plucker 2011 - 国外
WET WET DMS Tornado 200 2013 - 国外
Akrion Component UP V2 MP.2000 Akrion Component UP V2 MP.2000 Akrion UP V2 MP.2000 - Tool is sitting in Subfab 国外
AMAT NanoSEM 3D Metrology AMAT NanoSEM 3D Metrology AMAT应用材料 NanoSEM 3D 2004 Installed. Operational. Loadport 国外
AMAT NanoSEM 3D Metrology AMAT NanoSEM 3D Metrology AMAT应用材料 NanoSEM 3D 2004 Installed. Operational. 国外
AMAT NanoSEM 3D Metrology AMAT NanoSEM 3D Metrology AMAT应用材料 NanoSEM 3D 2004 Installed. Operational. 国外
Extraction System Inc TMB RTM Extraction System Inc TMB RTM Extraction System Inc TMB RTM - - 国外
Component Component Jackson Automation Storage Cabinet - - 国外
Rudolph WV320 Rudolph WV320 Rudolph WV320 2005 - 国外
Nanometrics Caliper Q300 Nanometrics Caliper Q300 Nanometrics Caliper Q300 2003 Overlay Measurement, Connected 国外
Nanometrics Caliper Q300 Nanometrics Caliper Q300 Nanometrics Caliper Q300 2003 SEM - Critical Dimension (CD) Mea 国外
Nanometrics Caliper Q300 Nanometrics Caliper Q300 Nanometrics Caliper Q300 2002 Nanometrics Caliper Inspection To 国外
AMAT Producer GT CVD AMAT Producer GT CVD AMAT应用材料 Producer GT 2015 3 Chamber: 1x SiCoNi PME, Frontie 国外
AMAT Producer GT CVD AMAT Producer GT CVD AMAT应用材料 Producer GT 2016 Frontier FRONTIER etch for Juncti 国外
AMAT Producer GT CVD AMAT Producer GT CVD AMAT应用材料 Producer GT 2015 Frontier FRONTIER etch for Juncti 国外
AMAT Producer GT CVD AMAT Producer GT CVD AMAT应用材料 Producer GT 2017 Frontier FRONTIER etch for Juncti 国外
Ametek/Cameca EX300 Ametek/Cameca EX300 Ametek/Cameca EX300 2011 Stranded, no potential reuse 国外
Ametek/Cameca EX300 Ametek/Cameca EX300 Ametek/Cameca EX300 2009 Shallow Probe Measurment Tool 国外
Component Component FSI PWC-48X38X64-3E - Drying Oven 2011 - 国外
Novellus Inova XT PVD Novellus Inova XT PVD Novellus Inova XT 2003 6 Chambers 国外
Component Component QCEPT QCEPT 2011 - 国外
TEL	Indy Irad Furnace TEL Indy Irad Furnace TEL Indy Irad 2007 - 国外
IMS	XTS-FT ATE IMS XTS-FT ATE IMS XTS-FT 1998 - 国外
ASM Epsilon 3200 ASM Epsilon 3200 ASM Epsilon 3200 2005 CVD 国外
Nanometrics Caliper Q300 Nanometrics Caliper Q300 Nanometrics Caliper Q300 2002 Installed 国外
Component Component Hologenix MTX 2000/2/MIS SLIPBAY 2001 - 国外
Packaging Systems Entry Conveyor Packaging Systems Entry Conveyor Packaging Systems Entry Conveyor 2018 - 国外
Metrology Metrology M&W Products IPRO 7 2012 for KLA IPRO7 国外
Micro Lithography 7002 ATE Micro Lithography 7002 ATE Micro Lithography 7002 1995 - 国外
Component Component Akrion UP V2 MP.2000 1994 Main system 1, SMIF ALU 1 国外
Estion E-RETICLE V 4M Estion E-RETICLE V 4M Estion E-RETICLE V 4M 2010 - 国外
KLA DP2 KLA DP2 KLA科磊 DP2 2012 DP2 Data Prep Station 国外
Component Component Kurita Unknown 2012 REGAS UNITS (X2) 国外
Component Component True Refrigerator S-72-SCI-HC 2017 - 国外
Component Component True Refrigerator TS-72-SCI-HC 2000 - 国外
Component Component Air Liquide Fabstream III 2019 SiH4 国外
Component Component PMS Surfex200 2013 - 国外
Component Component Polycom ISX309 2015 - 国外
Polycom Studio ISX321 Component Polycom Studio ISX321 Component Polycom Studio ISX321 2014 - 国外
ASML XT1700Gi光刻机 ASML XT1700Gi光刻机 ASML XT1700Gi 2006 - 国外
FSI ORION WET FSI ORION WET FSI ORION 2005 - 国外
Mosaid MS4205 ATE Mosaid MS4205 ATE Mosaid MS4205 - 200/400MHz, 16x16y addressing, 36 国外
Component Component Micro Control Abes IV - Condition : Fair, Burn-in Oven to 国外
Component Component Micro Control WRP64 - Condition : Fair, Burn-in Oven to 国外
Kinetic Systems Megapure 6001 HC Kinetic Systems Megapure 6001 HC Kinetic Systems Megapure 6001 HC - Component 国外
Component Component MKS AX8559 - - 国外
Mattson TiW Etch Tool Component Mattson TiW Etch Tool Component Mattson TiW Etch Tool 2002 Wet Etch tool, condition : Fair 国外
Component Component Control Air D-9-L-SM-UM-MOD 184 - D-9-L-SM-UM LIFT,MODEL#184 TEST P 国外
TEL	Precio octo ATE TEL Precio octo ATE TEL Precio octo 2017 - 国外
Rudolph S3000S Rudolph S3000S Rudolph S3000S 2011 - 国外
Rudolph S3000SX Rudolph S3000SX Rudolph S3000SX 2011 - 国外
Metrology Metrology Phoenix micromex 160 2007 - 国外
AMAT Octane G2 assy Component AMAT Octane G2 assy Component AMAT应用材料 Octane G2 assy 1999 - 国外
AMAT Octane G2 assy Component AMAT Octane G2 assy Component AMAT应用材料 Octane G2 assy 1999 - 国外
Chiron DESTIN EM ATE Chiron DESTIN EM ATE Chiron DESTIN EM 2000 - 国外
Chiron DESTIN EM ATE Chiron DESTIN EM ATE Chiron DESTIN EM 2001 - 国外
Chiron XPEQT EM ATE Chiron XPEQT EM ATE Chiron XPEQT EM 2001 - 国外
Chiron XPEQT EM ATE Chiron XPEQT EM ATE Chiron XPEQT EM 2002 - 国外
Chiron XPEQT EM ATE Chiron XPEQT EM ATE Chiron XPEQT EM 2002 - 国外
Chiron XPEQT EM ATE Chiron XPEQT EM ATE Chiron XPEQT EM 2002 - 国外
Metrology Metrology Jordan Valley BedeMetrix-F 2006 Missing Parts . 国外
Chiron DESTIN EM ATE Chiron DESTIN EM ATE Chiron DESTIN EM 2000 - 国外
WET WET SCREEN FC-3000 2005 - 国外
SCREEN FC-3100 WET SCREEN FC-3100 WET SCREEN FC-3100 2007 6 baths 国外
Novellus Inova PVD Novellus Inova PVD Novellus Inova 2014 MDX83x, underutilized in FAB8,
c
国外
LAM(Novellus) Vector Express CVD LAM(Novellus) Vector Express CVD LAM(Novellus) Vector Express 2011 CVD34x, underutilized at FAB8, us 国外
LAM(Novellus) Vector Express CVD LAM(Novellus) Vector Express CVD LAM(Novellus) Vector Express 2011 - 国外
WET WET Semitool Spectrum - - 国外
TEL	Trias CVD TEL Trias CVD TEL Trias 2006 - 国外
TEL	Trias CVD TEL Trias CVD TEL Trias 2006 - 国外
TEL	Trias CVD TEL Trias CVD TEL Trias 2006 - 国外
KOKUSAI DJ-1206VN-DM KOKUSAI DJ-1206VN-DM KOKUSAI DJ-1206VN-DM 2005 - 国外
Nanometrics Caliper Nanometrics Caliper Nanometrics Caliper 2001 Connected. 国外
Metrology Metrology Nova T600 2014 - 国外
TEL	LITHIUS i Track TEL LITHIUS i Track TEL LITHIUS i 2005 Missing parts 国外
RIGAKU 3272 RIGAKU 3272 RIGAKU 3272 2005 - 国外
AXCELIS XT300 Furnace AXCELIS XT300 Furnace AXCELIS XT300 2001 - 国外
Metrology Metrology VEECO Dimension X3D 2006 System S/N : 149 国外
Metrology Metrology FEI CLM 3D 2011 - 国外
CVD CVD Novellus C3 Speed chms 2002 - 国外
Kinetic Systems MB 331-FDD Kinetic Systems MB 331-FDD Kinetic Systems MB 331-FDD 2014 - 国外
Sinfornia SELOP12F25-S7A0021 CMP Sinfornia SELOP12F25-S7A0021 CMP Sinfornia SELOP12F25-S7A0021 2014 - 国外
Perkin Elmer AANALYST 600 ATE Perkin Elmer AANALYST 600 ATE Perkin Elmer AANALYST 600 2002 - 国外
LTX CREDENCE D10 LTX CREDENCE D10 LTX CREDENCE D10 2007 - 国外
Synax SX141 ATE Synax SX141 ATE Synax SX141 2005 - 国外
Component Component ESPEC ST-120 1998 - 国外
Component Component UVP C-600 2001 - 国外
Temptronic TP04000A-2B21-2 ATE Temptronic TP04000A-2B21-2 ATE Temptronic TP04000A-2B21-2 2015 - 国外

页次: 6 / 9页 每页:500 设备数:4137   9[1][2][3][4][5][6][7][8][9]: 总共有9页

二手半导体设备交易平台semi1688.com
城市分站:北京龙玺精密 | 上海龙玺精密 | 深圳龙玺精密 | 成都龙玺精密 | 天津 | 香港 | 澳门 | 安徽 | 福建 | 广东 | 广西 | 贵州 | 甘肃 | 海南 | 河北 | 河南 | 黑龙江 | 湖北 | 湖南 | 吉林 | 江苏 | 江西 | 辽宁 | 内蒙古 | 宁夏 | 青海 | 陕西 | 山西 | 山东 | 四川 | 台湾 | 西藏 | 新疆 | 云南 | 浙江 | japan longxi | korea longxi | USA longxi
 
关于我们 | 产品中心 | 新闻资讯 | 非标定制 | 技术服务 | 联系我们 | 二手半导体设备采购平台
地址:宁波市奉化区东峰路80号or江苏徐州市贾汪区工业园 电话:18868521984龙先生
邮箱:ljb929@126.com 注:设备状态不定期更新,是否已售出请咨询。
    设备咨询,请加我微信
Copyright © 2019-2024 龙玺精密 版权所有 备案号:浙ICP备2021036227号
VGF单晶炉|高压VGF单晶炉|VTM单晶炉|SIC单晶炉|多晶合成炉|平面抛光机|平面研磨机|双面研磨机和抛光机|曲面抛光机|槽式清洗机|第二代半导体材料全自动清洗机|GASS立式甩干机|多工位甩干机|高温退火炉|低温立式炉|ALD原子层沉积设备|真空封焊炉|磁控溅射镀膜机|高真空多靶磁控溅射镀膜机|卷绕镀膜系统|电阻蒸发镀膜机|电子束蒸发镀膜机|机械手|手套箱|晶体生长设备|研磨抛光设备|材料清洗设备|真空镀膜设备|其他定制设备|二手半导体设备|国内二手半导体设备|国外二手半导体设备|二手半导体设备买卖|二手半导体设备翻新|二手半导体设备维护|二手半导体设备回收|二手半导体设备采购平台|二手半导体设备交易平台|切割机|划片机|光刻机|检测仪|测试仪|扫描电镜|蚀刻机|探针台|二手半导体设备|二手CANON设备|二手NIKON设备|二手DISCO设备|二手ASML设备|二手AMAT设备|二手TEL设备|二手LAM设备|二手KLA设备|二手Semics设备|二手Hitachi设备|二手半导体设备采购平台semi1688.com
VGF单晶炉|高压VGF单晶炉|VTM单晶炉|SIC单晶炉|多晶合成炉|平面抛光机|平面研磨机|双面研磨机|双面抛光机|曲面抛光机|槽式清洗机|第二代半导体材料全自动清洗机|GASS立式甩干机|多工位甩干机|高温退火炉|低温立式炉|ALD原子层沉积设备|真空封焊炉|磁控溅射镀膜机|高真空多靶磁控溅射镀膜机|卷绕镀膜系统|电阻蒸发镀膜机|电子束蒸发镀膜机|机械手|手套箱|晶体生长设备|研磨抛光设备|材料清洗设备|真空镀膜设备|其他定制设备|龙玺精密|国内二手设备|国外二手设备|二手半导体设备|二手设备买卖|二手设备翻新|二手设备回收|CANON二手设备|NIKON二手设备|DISCO二手设备|ASML二手设备|AMAT二手设备|TEL二手设备|LAM二手设备|KLA二手设备|SEMICS二手设备|HITACHI二手设备|芯片|晶圆|封装|半导体|切割机|划片机|光刻机|检测仪|测试仪|半导体设备|半导体封装|扫描电镜|蚀刻机|探针台|扩散炉