二手半导体设备交易平台semi1688.com
首页 CANON NIKON DISCO ASML AMAT TEL LAM KLA SEMICS HITACHI YOKOGAWA
图 片 设备名称 制造商 型号 年份 详细配置 状 态
MOSAID MS348001 MOSAID MS348001 MOSAID MS348001 2007 - 国外
Thermonix T2500IX/ION/E ATE Thermonix T2500IX/ION/E ATE Thermonix T2500IX/ION/E 2003 - 国外
Synax SX141 ATE Synax SX141 ATE Synax SX141 2005 - 国外
Component Component Agilent E8510C 2001 - 国外
Component Component Agilent E8510C 2001 - 国外
Component Component Agilent E8510C 2001 - 国外
Component Component Agilent E8510C 2001 - 国外
CVD CVD ASM Polygon P8300 2009 - 国外
Component Component Litrolin SOLB-5M2 2000 - 国外
Metrology Metrology FEI 300 2004 - 国外
Etch Etch POLYFLOW S314 1987 - 国外
TEL	Air Dryers Others TEL Air Dryers Others TEL Air Dryers 2000 - 国外
TEL	Air Dryers Others TEL Air Dryers Others TEL Air Dryers 2001 - 国外
TEL	D214 Component TEL D214 Component TEL D214 2001 - 国外
Nanometrics Caliper Q300 Nanometrics Caliper Q300 Nanometrics Caliper Q300 2003 Accent Optical, Caliper Q300, Bio 国外
ASML XT1700FI光刻机 ASML XT1700FI光刻机 ASML XT1700FI 2009 - 国外
CVD CVD ASM Polygon P8300 2009 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2006 - 国外
Micro Handling MP933 ATE Micro Handling MP933 ATE Micro Handling MP933 2006 - 国外
TEL	P-12XLn TEL P-12XLn TEL P-12XLn 2005 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2006 - 国外
Component Component Unknown Unknown 1986 Customised storage rack and table 国外
Metrology Metrology VEECO Dimension X3D 2002 - 国外
Micro Handling MP933 ATE Micro Handling MP933 ATE Micro Handling MP933 2006 - 国外
Micro Handling MP933 ATE Micro Handling MP933 ATE Micro Handling MP933 2006 - 国外
TEL	P-12XLn TEL P-12XLn TEL P-12XLn 2005 Missing parts in datasheet. 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2006 Missing parts in datasheet. 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2006 Missing parts in datasheet. 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2006 Missing parts in datasheet. 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2006 Missing parts in datasheet. 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2006 Missing parts in datasheet. 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2006 Missing parts in datasheet. 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2006 Missing parts in datasheet. 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2006 Missing parts in datasheet. 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2006 Missing parts in datasheet. 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2006 Missing parts in datasheet. 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2006 Missing parts in datasheet. 国外
ASML XT1250D光刻机 ASML XT1250D光刻机 ASML XT1250D 2005 - 国外
CANON	C-7100GT PVD CANON C-7100GT PVD CANON C-7100GT 2007 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2007 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2007 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2008 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2007 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2008 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2007 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2007 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2007 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2007 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2006 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2008 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2007 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2006 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2007 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2008 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2007 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2008 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2007 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2008 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2008 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2006 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2008 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2008 - 国外
Star Gemini Component Star Gemini Component STAR Technologies Star Gemini 2014 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2006 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2006 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2006 - 国外
Stepper Stepper Ultratech AP300 2006 - 国外
Mattson Helios RTP Mattson Helios RTP Mattson Helios 2007 - 国外
CANON	FC7102 PVD CANON FC7102 PVD CANON FC7102 2005 - 国外
ATE ATE Electroglas EG2001X 2011 - 国外
ATE ATE Micro Handling MP933 1985 - 国外
ATE ATE Micro Handling MP933 2006 - 国外
ATE ATE Micro Handling MP933 2006 - 国外
ATE ATE Micro Handling MP933 2006 - 国外
ATE ATE Micro Handling MP933 2006 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2006 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2005 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2006 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2008 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2008 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2008 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2008 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2008 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2008 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2008 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2008 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2008 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2006 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2007 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2007 - 国外
Metrology Metrology Carl Zeiss Axiotron-2 - Condition : Not working, Manual X 国外
Mattson Helios RTP Mattson Helios RTP Mattson Helios - System S/N : 2006011107 国外
Component Component MKS Liquozone PrimO3 - Ozone / O3 / Generator / Other, M 国外
Component Component VWR Scientific 1601 - - 国外
Component Component VWR Scientific 1601 - - 国外
Component Component RORZE RV201-F05-202CE-1 2011 - 国外
ACCRETECH UF3000探针台 ACCRETECH UF3000探针台 ACCRETECH东京精密 UF3000 2004 Right Single Loader, Hot Nickel C 国外
ACCRETECH UF3000探针台 ACCRETECH UF3000探针台 ACCRETECH东京精密 UF3000 2007 Right Single Loader, Hot Nickel C 国外
ACCRETECH UF3000探针台 ACCRETECH UF3000探针台 ACCRETECH东京精密 UF3000 2008 Right Single Loader, Hot Nickel C 国外
ULVAC ZX-1000-PVD叶片式溅射设备 ULVAC ZX-1000-PVD叶片式溅射设备 ULVAC爱发科 ZX-1000 1996 Reflow x 1, Ti/TiN LTS x1, Degas 国外
ULVAC ZX-1000-PVD叶片式溅射设备 ULVAC ZX-1000-PVD叶片式溅射设备 ULVAC爱发科 ZX-1000 1996 Reflow x 1, Ti/TiN LTS x1, Degas 国外
ULVAC ZX-1000-PVD叶片式溅射设备 ULVAC ZX-1000-PVD叶片式溅射设备 ULVAC爱发科 ZX-1000 2011 Reflow x 1, Ti/TiN LTS x1, Degas 国外
ULVAC ZX-1000-PVD叶片式溅射设备 ULVAC ZX-1000-PVD叶片式溅射设备 ULVAC爱发科 ZX-1000 1995 Reflow x 1, Ti/TiN x 1, Degas x1, 国外
TEL	MBB-830 PVD TEL MBB-830 PVD TEL MBB-830 1995 TiN 3CH(Cryo_CTI OB8) 国外
TEL	MBB-830 PVD TEL MBB-830 PVD TEL MBB-830 1995 TiN 3CH(Cryo_CTI OB8) 国外
AMAT Endura CL PVD AMAT Endura CL PVD AMAT应用材料 Endura CL 2004 EFEM(2Ports, Kensington), , 2x A 国外
AMAT Endura CL PVD AMAT Endura CL PVD AMAT应用材料 Endura CL 2004 EFEM(2Ports, Kensington), 1x Gen 国外
CANON	FC7100 PVD CANON FC7100 PVD CANON FC7100 2011 1x PC, 3x PVD (Dual Target) 国外
Novellus Inova PVD Novellus Inova PVD Novellus Inova 1999 TM, 2x PVD, 1x PCII 国外
Novellus Inova Chamber PVD Novellus Inova Chamber PVD Novellus Inova Chamber 2012 2x Cu chambers, AC Rack, Accessar 国外
Novellus Inova Chamber PVD Novellus Inova Chamber PVD Novellus Inova Chamber 2012 2x Cu chambers, AC Rack, Accessar 国外
Component Component Brooks MTX4000 2004 OS_Window NT, LOT Capability 25, 国外
NIKON NSR 2205EX14C光刻机 NIKON NSR 2205EX14C光刻机 NIKON NSR-2205EX14C 2001 6"wafer, FTHEX14C, Cymer 5410 国外
CANON FPA-5500iZ+ CANON FPA-5500iZ+ CANON FPA-5500iZ+ 2005 - 国外
TEL	LITHIUS Track TEL LITHIUS Track TEL LITHIUS 2006 2C5D, Inlined type(5500iZa), FOUP 国外
ATE ATE Advantest T5593 2003 Board 6? (???? Divide Val ?? ? ?? 国外
CANON	FPA-6000 ES5 CANON FPA-6000 ES5 CANON FPA-6000 ES5 2003 6" Reticle(SMIF) \, R Type(Foup), 国外
KARL SUSS MA200光刻机 KARL SUSS MA200光刻机 KARL SUSS MA200 1995 8" Holder , 2x Optic Lens(5x, 10x 国外
KARL SUSS MA200光刻机 KARL SUSS MA200光刻机 KARL SUSS MA200 1992 8" Holder , 2x Optic Lens(5x, 10x 国外
NIKON NES1-H04缩小投影曝光装置 NIKON NES1-H04缩小投影曝光装置 NIKON NES1-H04 2011 Main body, In/out Unit w/Robot, T 国外
CANON FPA-6000ES6a CANON FPA-6000ES6a CANON FPA-6000ES6a 2007 Uniformity(1.811%) 国外
HITACHI RS4000 HITACHI RS4000 HITACHI RS4000 2007 [As-is] 2port (TDK), UI PC (B260 国外
HITACHI RS4000 HITACHI RS4000 HITACHI RS4000 2005 [As-is] 2port (TDK), UI PC (B260) 国外
HITACHI IS3200SE HITACHI IS3200SE HITACHI IS3200SE 2010 3port(TDK TAS300), Hitachi Tazmo 国外
HITACHI RS6000 HITACHI RS6000 HITACHI RS6000 2014 Size L*W*H(mm) : 3780*1500*1900 国外
KLA NANOMAPPER KLA NANOMAPPER KLA科磊 NANOMAPPER 2006 [As-is] 2x Open Foup type, Kensin 国外
Nanometrics Caliper Mosaic Nanometrics Caliper Mosaic Nanometrics Caliper Mosaic 2011 [As-is] 2x Load Port(Brooks), Rob 国外
Nanometrics Caliper Elan Nanometrics Caliper Elan Nanometrics Caliper Elan 2005 [As-is] 2port(Brooks), 国外
Nanometrics Caliper Mosaic Nanometrics Caliper Mosaic Nanometrics Caliper Mosaic 2009 [As-is] 3x Load Port(Asyst), Robo 国外
Nanometrics Caliper Mosaic Nanometrics Caliper Mosaic Nanometrics Caliper Mosaic 2009 [As-is] 2xLoad port(Brooks FixLoa 国外
Nanometrics Caliper Mosaic Nanometrics Caliper Mosaic Nanometrics Caliper Mosaic 2009 [As-is]Overlay, 2ea*loadport(Broo 国外
Nanometrics Caliper Mosaic Nanometrics Caliper Mosaic Nanometrics Caliper Mosaic 2011 [As-is] 3ports(Brooks), Brooks(Al 国外
Nanometrics Caliper Mosaic Nanometrics Caliper Mosaic Nanometrics Caliper Mosaic 2011 [As-is] 2ports(Brooks), Brooks(Al 国外
Rudolph Axi-S Rudolph Axi-S Rudolph Axi-S 2005 2 Ports (Brooks), Robot & Robot C 国外
Rudolph Axi-S Rudolph Axi-S Rudolph Axi-S 2005 [As-is] 2x Brooks Loader 国外
Rudolph NSX105 Rudolph NSX105 Rudolph NSX105 2004 [As-is]2x Load port(6",8"), Brook 国外
Rudolph NSX105 Rudolph NSX105 Rudolph NSX105 2003 [As-is]2x Load port(6",8"), Brook 国外
Rudolph 3Di8500 Rudolph 3Di8500 Rudolph 3Di8500 2008 [As-is] Genmark Robot 国外
JEOL JEM3200FS JEOL JEM3200FS JEOL JEM3200FS 2006 [As-is] TEM 国外
Component Component Brooks MTX2000 2004 OS_Window NT, LOT Capability 25, 国外
HITACHI HD2300 HITACHI HD2300 HITACHI HD2300 2006 TEM, EDX option included 国外
Metrology Metrology VEECO Dimension X3D 2006 [As-is] 1Port(Asyst ISO port), Br 国外
AMATUVision 5 Metrology AMATUVision 5 Metrology AMAT应用材料 UVision 5 2012 2port(TDK TAS300), Yaskawa robot 国外
Metrology Metrology Horiba PR-PD2 2005 [As-is] 6 Reticle, SMIF type, Det 国外
AMATUVision 4 Metrology AMATUVision 4 Metrology AMAT应用材料 UVision 4 2009 [As-is]2ea*TDK load port, Kawasak 国外
AMATUVision 4 Metrology AMATUVision 4 Metrology AMAT应用材料 UVision 4 - Parts Sale Available
If you need
国外
KLA HRP-340 KLA HRP-340 KLA科磊 HRP-340 2004 2port(Asyst ISO port), stage lock 国外
KLA EDR-5210电子束缺陷再检测 KLA EDR-5210电子束缺陷再检测 KLA科磊 EDR-5210 - 2x Load port( Brooks, Brooks Robo 国外
KLA EDR-5210电子束缺陷再检测 KLA EDR-5210电子束缺陷再检测 KLA科磊 EDR-5210 2010 2xLoad port(Brooks FixLoad), Robo 国外
KLA Puma 9130晶圆检测系统 KLA Puma 9130晶圆检测系统 KLA科磊 Puma 9130 2005 [As-is] 2ea*Loadport(Asyst), PRI 国外
KLA Puma 9000晶圆检测系统 KLA Puma 9000晶圆检测系统 KLA科磊 Puma 9000 2005 [As-is] Handler missing, Dell pow 国外
KLA WI-2280晶圆检测机台 KLA WI-2280晶圆检测机台 KLA科磊 WI-2280 - - 国外
KLA WI-2280晶圆检测机台 KLA WI-2280晶圆检测机台 KLA科磊 WI-2280 - - 国外
KLA AIT UV KLA AIT UV KLA科磊 AIT UV 2003 - 国外
TEL	Alpha-303i-K Furnace TEL Alpha-303i-K Furnace TEL Alpha-303i-K 2006 1. GFC : N2, N2O, SiH4 (MTO) 2. C 国外
TEL	Alpha-303i-K Furnace TEL Alpha-303i-K Furnace TEL Alpha-303i-K 2003 GFC (N2, SiH4, Si2H6, 0.1%PH3/N2, 国外
TEL	Alpha-303i-K Furnace TEL Alpha-303i-K Furnace TEL Alpha-303i-K 2003 Process: D-Poly, Gas: N2, 0.1%PH3 国外
TEL	Indy-B Furnace TEL Indy-B Furnace TEL Indy-B 2012 Indy-B-L, MFC(N2, NH3, SiH2Cl2, N 国外
TEL	Alpha-303i-K Furnace TEL Alpha-303i-K Furnace TEL Alpha-303i-K 2005 GFC(N2, NH3, SiH4, SiH2Cl2, N2O), 国外
TEL	Indy-A Furnace TEL Indy-A Furnace TEL Indy-A 2005 GAS (N2, NH3, SiH2Cl2, N2O), L/L 国外
TEL	Alpha-303i-K Furnace TEL Alpha-303i-K Furnace TEL Alpha-303i-K 2004 1. GFC : N2, N2O, SiH4 (MTO) 2. C 国外
TEL	Alpha-303i-K Furnace TEL Alpha-303i-K Furnace TEL Alpha-303i-K 2005 GFC(SiH4,0.1%PH3/N2,CiF3), L/L Ty 国外
NIKON OCDM 300MM NIKON OCDM 300MM NIKON OCDM 300MM 2010 BU: eWLB, Tool Condition: Functio 国外
Asher Asher PSK Tera 21 2008 EFEM: ATM RORZE(RA7L018) Robot,
国外
HITACHI DM421P刻蚀设备 HITACHI DM421P刻蚀设备 HITACHI DM421P 1995 - 国外
Etch Etch BMR ICP Etcher 2009 GaN 国外
Etch Etch BMR ICP Etcher 2009 GaN 国外
Mattson Aspen 3 Lite Asher Mattson Aspen 3 Lite Asher Mattson Aspen 3 Lite 2006 3 Load Ports, 2set Chambers, Traz 国外
Mattson Aspen 3 Lite Asher Mattson Aspen 3 Lite Asher Mattson Aspen 3 Lite 2006 EFEM, TM, 3LP, 2x PM, HDD include 国外
AXCELIS Integra Etch AXCELIS Integra Etch AXCELIS Integra 2012 EFEM, TM, 2x PM, AC Rack, Accessa 国外
Mattson ParadigmE Etch Mattson ParadigmE Etch Mattson ParadigmE 2012 1x PM and AC Rack only. No full s 国外
Mattson ParadigmE XP Etch Mattson ParadigmE XP Etch Mattson ParadigmE XP 2010 EFEM, 2x twin PM, AC rack, UPS, T 国外
Asher Asher PSK Tera 21 2006 EFEM, TM, 2x PM 国外
Asher Asher PSK Tera 21 2007 EFEM, TM, 2x Twin Asher 国外
Asher Asher PSK Tera 21 2007 EFEM, TM, 2x PM 国外
Novellus Gamma2130 Novellus Gamma2130 Novellus Gamma2130 2005 2x PM, EFEM, GHW50A x3( 1ea Missi 国外
Semilab FAaST 230 Semilab FAaST 230 Semilab FAaST 230 2005 FAaST 230 Brooks Robot and Con't, 国外
Semilab FAaST330A Semilab FAaST330A Semilab FAaST330A 2004 FAaST 330A, Missing: Robot & Robo 国外
HITACHI CG4000扫描电子显微镜 HITACHI CG4000扫描电子显微镜 HITACHI CG4000 2011 [Power-on] Main body, Handler, UI 国外
TEL	ACT8 Dual Track TEL ACT8 Dual Track TEL ACT8 Dual 2013 4C4D, Inline type, R->L, RDS Pump 国外
ATE ATE Seiko Epson NS8160LS 2012 HDD Missing / Sys(1), W/S(1) 国外
TEL	LITHIUS Track TEL LITHIUS Track TEL LITHIUS 2006 2C5D, Inlined type(5500iZa), FOUP 国外
TEL	LITHIUS Track TEL LITHIUS Track TEL LITHIUS 2006 2C5D, Inlined type(5500iZa), FOUP 国外
TEL	LITHIUS Track TEL LITHIUS Track TEL LITHIUS 2006 2C5D, Inlined type(5500iZa), FOUP 国外
TEL	LITHIUS Track TEL LITHIUS Track TEL LITHIUS 2005 2C5D, Inlined type(5500iZa), FOUP 国外
TEL	LITHIUS Track TEL LITHIUS Track TEL LITHIUS 2007 2C5D, Inlined type(5500iZa), FOUP 国外
TEL	LITHIUS Track TEL LITHIUS Track TEL LITHIUS 2006 2C5D, Inlined type(5500iZa), FOUP 国外
TEL	LITHIUS Track TEL LITHIUS Track TEL LITHIUS 2007 2C5D, Inlined type(5500iZa), FOUP 国外
TEL	LITHIUS Track TEL LITHIUS Track TEL LITHIUS 2005 2C5D, Inlined type(5500iZa), FOUP 国外
TEL	LITHIUS Track TEL LITHIUS Track TEL LITHIUS 2005 2C5D, Inlined type(5500iZa), FOUP 国外
WET WET SCREEN WS-820L - CHCL, SPM1, SPM2, HQDR, APM1, APM 国外
SCREEN SU-3100 WET SCREEN SU-3100 WET SCREEN SU-3100 2009 Single process, low temp. 8 chamb 国外
SCREEN SU-3100 WET SCREEN SU-3100 WET SCREEN SU-3100 2012 Process : PR Strip (DECAP)Chemica 国外
TEL	Cellesta-i WET TEL Cellesta-i WET TEL Cellesta-i 2012 Single wet tool, 12 chambers Proc 国外
WET WET SEZ RST201 1996 (Hooked, Cold) 1) Mainbody, 2)Cur 国外
EBARA F-REX300S2 CMP EBARA F-REX300S2 CMP EBARA F-REX300S2 2009 EFEM, Polisher, Cleaner, Power bo 国外
SCREEN AS2000 CMP SCREEN AS2000 CMP SCREEN AS2000 2000 In-let type, Chemical supply unit 国外
TERADYNE IP750EX测试系统 TERADYNE IP750EX测试系统 TERADYNE IP750EX 2001 HEAD(Modified from J750), Rack(Ma 国外
AMAT Centura DPS2 532 Metal Etch AMAT Centura DPS2 532 Metal Etch AMAT应用材料 Centura DPS2 532 Metal 2006 EFEM(Yaskawa), 2xDPS532, 1xAxiom, 国外
AMAT Endura 2 PVD AMAT Endura 2 PVD AMAT应用材料 Endura 2 2004 EFEM, TM, 2x PCII, 2x IMP, 1x TxZ 国外
AMAT Producer SE CVD AMAT Producer SE CVD AMAT应用材料 Producer SE 2003 2 Twiin( HF_Apex3013, LF_PDX9002V 国外
AMAT Producer SE CVD AMAT Producer SE CVD AMAT应用材料 Producer SE 2007 3Twin ACL(HF and LF Gen), Server 国外
KNS ICONN PKG KNS ICONN PKG KNS ICONN 2013 ConnX LED->IConn Modified, Cu Kit 国外
KNS ICONN PKG KNS ICONN PKG KNS ICONN 2015 ConnX LED->IConn Modified, Cu Kit 国外
KNS ICONN PKG KNS ICONN PKG KNS ICONN 2015 ConnX LED->IConn Modified, Cu Kit 国外
KNS ICONN PKG KNS ICONN PKG KNS ICONN 2014 ConnX LED->IConn Modified, Cu Kit 国外
KNS ICONN PKG KNS ICONN PKG KNS ICONN 2014 ConnX LED->IConn Modified, Cu Kit 国外
KNS ICONN PKG KNS ICONN PKG KNS ICONN 2013 ConnX LED->IConn Modified, Cu Kit 国外
PKG PKG ASM AD830 - - 国外
NISSIN Exceed 3000AH NISSIN Exceed 3000AH NISSIN日新 Exceed 3000AH - - 国外
HITACHI S-5500扫描电子显微镜 HITACHI S-5500扫描电子显微镜 HITACHI S-5500 - Preparing for power-on / Expected 国外
AMAT Producer GT CMP AMAT Producer GT CMP AMAT应用材料 Reflexion Desica 2003 Polisher STD, Desica Cleaner 国外
PKG PKG LINTEC RAD-3500F/8 2003 BG Tape Laminating System with 80 国外
WET WET Semitool Raider ECD310 2009 4 x Capsule, 2 x ECD, 4 x CFD-III 国外
NIKON NSR S609B浸入式扫描光刻机 NIKON NSR S609B浸入式扫描光刻机 NIKON NSR-S609B - Deinstalled by NIKON, Cymer laser 国外
Verigy Ag93000 C400E ATE Verigy Ag93000 C400E ATE Verigy Ag93000 C400E - No Missing.
LTH, P600(1ea, 16ch*
国外
Verigy Ag93000 C400E ATE Verigy Ag93000 C400E ATE Verigy Ag93000 C400E - Some parts missing.
LTH, P600(1e
国外
KOKUSAI RAM-8500ZX KOKUSAI RAM-8500ZX KOKUSAI RAM-8500ZX 1996 Batch Asher, Two Chamber, Missing 国外
PKG PKG MAT MAT507BW 2011 BU: TSV, Tool Condition: Down 国外
PKG PKG Duocom FeinFocus 2014 BU: WLB, Tool Condition: Function 国外
PKG PKG ESPEC IPHH-201M 2000 BU: WB, Tool Condition: Functiona 国外
PKG PKG ESPEC IPHH-201M 2000 BU: WB, Tool Condition: Functiona 国外
PKG PKG ESPEC IPHH-201M 2000 BU: WB, Tool Condition: Functiona 国外
PKG PKG ESPEC IPHH-201M 2000 BU: WB, Tool Condition: Functiona 国外
ACCRETECH ML300FH探针台 ACCRETECH ML300FH探针台 ACCRETECH东京精密 ML300FH 2011 BU: TSV, Tool Condition: Function 已售出
DEK WLM6200 DEK WLM6200 DEK WLM6200 2006 BU: TD WL, Tool Condition: Down 国外
SMT SMT MINAMI MK-838SV 2005 BU: TD WL, Tool Condition: Down 国外
AMAT Centura DPS2 Poly Etch AMAT Centura DPS2 Poly Etch AMAT应用材料 Centura DPS2 Poly 2007 EFEM, TM, 3x DPS2 Poly; 1x Axiom, 国外
AMAT Centura DPS2 Poly Etch AMAT Centura DPS2 Poly Etch AMAT应用材料 Centura DPS2 Poly 2006 EFEM, TM, 3x DPS2 Poly, 1x Axiom, 国外
AMAT Centura DPS2 Poly Etch AMAT Centura DPS2 Poly Etch AMAT应用材料 Centura DPS2 Poly 2006 EFEM(Kawasaki, Server), TM, 3x G5 国外
VEECO K465颗粒过滤器 VEECO K465颗粒过滤器 VEECO K465 - CVD 国外
VEECO K465颗粒过滤器 VEECO K465颗粒过滤器 VEECO K465 - CVD 国外
VEECO K465颗粒过滤器 VEECO K465颗粒过滤器 VEECO K465 - CVD 国外
Hanwha SP1-C SMT Hanwha SP1-C SMT Hanwha SP1-C 2016 (New) 1 Gantry x 2 Squeezes/Head 国外
SMT SMT Famecs FNBS-800-SAD-NE 2012 - 国外
SMT SMT Mirtec MV-8VDH 2013 10M Pixel Camera, 9.8Micron/Pixel 国外
Famecs FNBS-600AD-10E-P SMT Famecs FNBS-600AD-10E-P SMT Famecs FNBS-600AD-10E-P 2013 - 国外
SMT SMT Famecs FSG-1250-AID-MSE 2012 - 国外
SMT SMT Famecs FCL-1200D-6E 2012 - 国外
SMT SMT Famecs FMU-400SAI-DHE 2013 - 国外
SMT SMT Hanwha STF-ED-20TH 2016 - 国外
SMT SMT Hanwha STF-ED-20TH 2016 - 国外
SMT SMT Hanwha POP Unit 2016 - 国外
SMT SMT Hanwha Power Supply (2) 2016 - 国外
SMT SMT Hanwha V Feeder Storage Rack (2) 2016 - 国外
SMT SMT Hanwha V Feeder Storage Rack (2) 2016 - 国外
ATE ATE Thermonics T2500SE - - 国外
ATE ATE Thermonics T2500SEA - - 国外
PKG PKG Hyer Photon Systems HPS-376KT 2007 Material: Metal(Cu, CuW, TiW, Mo 国外
PKG PKG OPTO SYSTEM WMSS2000 2008 Wafer Type: Vertical Type LED, La 国外
PKG PKG OPTO SYSTEM WDS2200 2007 LED Chip Sorter 国外
PKG PKG Kaijo FB700 2004 Thermos Sonic type, with 0.3um bo 国外
PKG PKG Kaijo FB700 2004 Thermos Sonic type, with 0.3um bo 国外
PKG PKG Kaijo FB700 2004 Thermos Sonic type, with 0.3um bo 国外
PKG PKG Kaijo FB700 2004 Thermos Sonic type, with 0.3um bo 国外
Component Component Seiko Seiki STP-A2203 1999 Include TURBO PUMP CONTROLLER(SCU 国外
Component Component Seiko Seiki STP-A2203 2000 Include TURBO PUMP CONTROLLER(A22 国外
HITACHI S-5200扫描电子显微镜 HITACHI S-5200扫描电子显微镜 HITACHI S-5200 2001 initialized condition (image synt 国外
TEL	Trias Chamber CVD TEL Trias Chamber CVD TEL Trias Chamber - CVD Ti Ch( RF generator_ Kyosan 1 国外
TEL	Trias Chamber CVD TEL Trias Chamber CVD TEL Trias Chamber - CVD Ti Ch( RF generator_ Kyosan 1 国外
TEL	Trias Chamber CVD TEL Trias Chamber CVD TEL Trias Chamber 2016 CVD Ti Ch( RF generator_ Kyosan 1 国外
TEL	Trias Chamber CVD TEL Trias Chamber CVD TEL Trias Chamber 2016 CVD Ti Ch( RF generator_ Kyosan 1 国外
TEL	Trias Chamber CVD TEL Trias Chamber CVD TEL Trias Chamber 2016 CVD Ti Ch( RF generator_ Kyosan 1 国外
AMAT Centura DPS2 AdvantEdge G Etch AMAT Centura DPS2 AdvantEdge G Etch AMAT应用材料 Centura DPS2 AdvantEdge G5 Mes 2007 G5 Mesa. EFEM(Server, Kawasaki) 3 国外
TEL	Alpha-303i-K Furnace TEL Alpha-303i-K Furnace TEL Alpha-303i-K 2004 1. GFC : N2, N2O, SiH4 (MTO) 2. C 国外
AMAT Centura DPS2 Chamber Etch AMAT Centura DPS2 Chamber Etch AMAT应用材料 Centura DPS2 Chamber - DPS2 Poly Chamber, Parts 国外
AMAT Centura DPS2 Chamber Etch AMAT Centura DPS2 Chamber Etch AMAT应用材料 Centura DPS2 Chamber - DPS2 Poly Chamber, Parts 国外
AMAT Centura DPS2 Chamber Etch AMAT Centura DPS2 Chamber Etch AMAT应用材料 Centura DPS2 Chamber - DPS2 Poly Chamber, Parts 国外
TEL	Trias SPA CVD TEL Trias SPA CVD TEL Trias SPA 2010 LM+TM+AC Rack, Missing: PM 国外
AXCELIS RapidCure 320FC Track AXCELIS RapidCure 320FC Track AXCELIS RapidCure 320FC 2006 - 国外
AMAT Centura DPS2 Metal Etch AMAT Centura DPS2 Metal Etch AMAT应用材料 Centura DPS2 Metal 2005 EFEM(Server, Kawasaki), 3x G2 Met 国外
AMAT Centura eMax CT+ Etch AMAT Centura eMax CT+ Etch AMAT应用材料 Centura eMax CT+ 2007 EFEM, TM, 3x eMax, AC Rack, Side 国外
KLA Puma 9000晶圆检测系统 KLA Puma 9000晶圆检测系统 KLA科磊 Puma 9000 2005 [Semi power-on] 2port(Asyst ISO p 国外
CVD CVD ASM Eagle12 2005 2CH 国外
Component Component MKS PL-2HF - - 国外
Component Component MKS OEM-50N - - 国外
AMAT Producer SE CVD AMAT Producer SE CVD AMAT应用材料 Producer SE 2009 2x BDII 1x UV Cure 国外
LAM(Novellus) Vector CVD LAM(Novellus) Vector CVD LAM(Novellus) Vector 2005 Vector RPC 国外
Component Component NESLAB Steelhead3 CHX 2006 Heat Exchanger 国外
Component Component NESLAB Steelhead1 2006 Heat Exchanger 国外
AMAT Centura eMax CT+ Etch AMAT Centura eMax CT+ Etch AMAT应用材料 Centura eMax CT+ 2007 EFEM(Server, Yaskawa), TM, 3x eMa 国外
AMAT P5000刻蚀机 AMAT P5000刻蚀机 AMAT应用材料 P5000 1996 CVD MarkII, 2x DLH_Delta, 2x Etch 国外
AMAT Centura DPS Metal Etch AMAT Centura DPS Metal Etch AMAT应用材料 Centura DPS Metal 1996 C1P1, WBLL, 1x Orient, 1x CD, 2x 国外
CANON FPA-5500iZ CANON FPA-5500iZ CANON FPA-5500iZ 2001 - 国外
AMAT Producer SE CVD AMAT Producer SE CVD AMAT应用材料 Producer SE 2004 HT-SiN 3 Twin, OS_FES(CGA), FInRT 国外
Component Component SMC INR-496-003D-X007 2007 Galden Fluorinert Only(HT110, HT1 国外
Component Component SMC INR-496-003D-X007 2010 Galden Fluorinert Only(HT110, HT1 国外
Component Component SMC INR-496-003D-X007 2007 Galden Fluorinert Only(HT110, HT1 国外
Component Component SMC INR-496-003D-X007 2008 Galden Fluorinert Only(HT110, HT1 国外
Component Component THERMO ELECTRON CO., LTD MX+500W D3 - - 国外
KLA Puma 9000晶圆检测系统 KLA Puma 9000晶圆检测系统 KLA科磊 Puma 9000 2005 [As-is] 2ea*Loadport(Phoenix), Ya 国外
AMAT DPS2 532 Metal Chamber Etch AMAT DPS2 532 Metal Chamber Etch AMAT应用材料 DPS2 532 Metal Chamber 2004 DPS2 532 Metal Chamber only 国外
KNS ICONN PKG KNS ICONN PKG KNS ICONN 2015 ConnX LED->IConn Modified, Cu Kit 国外
CVD CVD GES CAYMAN 2004 Cayman, 2Twin( All Module Localiz 国外
CVD CVD GES CAYMAN 2006 Cayman, 2Twin( All Module Localiz 国外
ATE ATE Advantest T5377 - Dual head full config, FMRA 16ea, 国外
Advantest M6300 Advantest M6300 Advantest M6300 - Standard Cold Option -55 ~ 125°C 国外
Advantest M6300 Advantest M6300 Advantest M6300 - Standard Cold Option -55 ~ 125°C 国外
TEL	LITHIUS Track TEL LITHIUS Track TEL LITHIUS 2005 2C5D, Inlined type(5500iZa), FOUP 国外
LAM(Novellus) Vector Express CVD LAM(Novellus) Vector Express CVD LAM(Novellus) Vector Express 2004 PESINn PETEOS, Loadport_Fixload 6 国外
CVD CVD Novellus C3 Speed NeXT 2004 NeXT x 3Ch(ILD HDP)[HF_Apex10013, 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Quartet One - - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Quartet One - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - Pull Back 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
PKG PKG DAGE XD7600-1 - - 国外
PKG PKG DAGE XD7600 - - 国外
SSP	BPS-6200 PKG SSP BPS-6200 PKG SSP BPS-6200 - - 国外
PKG PKG SSP APP-7000U - - 国外
PKG PKG DAGE XD7600NT - - 国外
PKG PKG YES,INC YES-PBV300 2012 - 国外
PKG PKG Hanmi Semiconductor 3D VISION - - 国外
PKG PKG Hanmi Semiconductor 3D VISION - - 国外
PKG PKG Protec PRO-6020 - Pulled Back 国外
PKG PKG SSP APP-6000 - - 国外
PKG PKG Protec PRO-6010 - Pulled Back 国外
PKG PKG Duocom HS-2900A - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
Electroglas EG4090u+ ATE Electroglas EG4090u+ ATE Electroglas EG4090u+ - Gold Chuck, Hot temp, Not include 国外
ATE ATE Electroglas EG6000 - 12inch Gold Chuck, Monitor, Needl 国外
ATE ATE Electroglas EG6000 - 12inch Gold Chuck, Monitor, Needl 国外
PKG PKG ESEC 2008 HS3 PLUS - Bond Head, Wafer Table Missing 国外
PKG PKG ESEC 2008 HS3 PLUS - Bond Head, Wafer Table Missing 国外
PKG PKG ESEC 2008 HS3 PLUS - Bond Head, Wafer Table Missing 国外
PKG PKG ESEC 2008 HS3 PLUS - Bond Head, Wafer Table Missing 国外
SMT SMT Asymtek C-730 - - 国外
PKG PKG Fico AMSW40306 - - 国外
PKG PKG Hanmi Semiconductor S&P-3000D - - 国外
PKG PKG SAMSUNG OTRON SSO-APP-300 - - 国外
HAANA HPP-5500 PKG HAANA HPP-5500 PKG HAANA HPP-5500 - - 国外
PKG PKG SAMSUNG OTRON SSO-PAS-100 - - 国外
DAGE BT-4000 DAGE BT-4000 DAGE BT-4000 - - 国外
DAGE BT-4000TPXY DAGE BT-4000TPXY DAGE BT-4000TPXY - - 国外
SMT SMT BTU P98 - - 国外
PKG PKG YES,INC YES-PBV300 - - 国外
EV GROUP EVG 850TB EV GROUP EVG 850TB EV GROUP EVG 850TB - - 国外
EO Technics LMC3200G3 EO Technics LMC3200G3 EO Technics LMC3200G3 2012 X-Axis Fail 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
KNS MAXUM ULTRA PKG KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
EO Technics LMC3200G EO Technics LMC3200G EO Technics LMC3200G 2011 BU: eWLB, Tool Condition: Functio 国外
EO Technics LMC3200G EO Technics LMC3200G EO Technics LMC3200G 2011 BU: eWLB, Tool Condition: Down 国外
EO Technics LMC3200G EO Technics LMC3200G EO Technics LMC3200G 2011 BU: eWLB, Tool Condition: Down 国外
DAGE 4800 PKG DAGE 4800 PKG DAGE 4800 2016 BU: eWLB, Tool Condition: Functio 国外
Rudolph JetStep A2300 Rudolph JetStep A2300 Rudolph JetStep A2300 2012 BU: eWLB, Tool Condition: Down 国外
KARL SUSS ACS200涂胶显影机 KARL SUSS ACS200涂胶显影机 KARL SUSS ACS200 2013 2C2D, L->R, 4 SMIF Load Port, BU: 国外
PKG PKG Dong Yang Semicon Equip. Co. L DPM-618-HMV 2000 BU: WB, Tool Condition: Functiona 国外
PKG PKG MINAMI MK-WIR403 2011 BU: WLB, Tool Condition: Function 国外
PKG PKG Muhlbauer DS 10000 2010 BU: WLD, Tool Condition: Function 国外
PKG PKG Muhlbauer DS 10000 2007 BU: WLD, Tool Condition: Down 国外
PKG PKG Muhlbauer DS 10000 2007 BU: WLD, Tool Condition: Down 国外
PKG PKG Muhlbauer DS 10000 2007 BU: WLD, Tool Condition: Function 国外
PKG PKG Muhlbauer DS 10000 2007 BU: WLD, Tool Condition: Down 国外
PSK	Ecolite2000 Asher PSK Ecolite2000 Asher PSK Ecolite2000 2013 BU: WLB, Tool Condition: Down 国外
PKG PKG Datacon 8800 Chameo 2009 BU: eWLB, Tool Condition: Down 国外
PKG PKG Datacon 8800 Chameo 2011 BU: eWLB, Tool Condition: Down 国外
NIKON mWL 300s for VMZ-R6555 NIKON mWL 300s for VMZ-R6555 NIKON mWL 300s for VMZ-R6555 2014 BU: eWLB, Tool Condition: Functio 国外
WET WET Novellus Sabre 3D 2017 BU: eWLB, Tool Condition: Down, C 国外
Etch Etch GPTC UFO-300-C2 2011 BU: eWLB, Tool Condition: Down 国外
PKG PKG EO Technics CSM3002HD 2014 BU: eWLB, Tool Condition: Down, 1 国外
Metrology Metrology VEECO NT9800 2010 BU: eWLB, Tool Condition: Functio 国外
PKG PKG RBN DT-WDB-2050A 2007 BU: eWLB, Tool Condition: Functio 国外
PKG PKG DYNATECH DT-TLD2030_HD 2014 BU: eWLB, Tool Condition: Down 国外
Asher Asher Trymax Neo3000 2010 BU: eWLB, Tool Condition: Down 国外
Rudolph NSX115 Rudolph NSX115 Rudolph NSX115 2009 BU: eWLB, Tool Condition: Down 国外
Rudolph NSX115 Rudolph NSX115 Rudolph NSX115 2010 BU: eWLB, Tool Condition: Down 国外
EO Technics LMC3200G EO Technics LMC3200G EO Technics LMC3200G 2011 BU: eWLB, Tool Condition: Down 国外
EO Technics LMC3200G EO Technics LMC3200G EO Technics LMC3200G 2014 BU: eWLB, Tool Condition: Functio 国外
PKG PKG APT VFS-60A 2014 BU: WB, Tool Condition: Down 国外
PKG PKG KINERGY Auto buffing machine 2013 BU: WB, Tool Condition: Functiona 国外
PKG PKG KINERGY Auto buffing machine 2015 BU: WB, Tool Condition: Functiona 国外
PKG PKG KOSES KAM760US 2013 BU: WB, Tool Condition: Functiona 国外
Etch Etch CHEMCUT CORPORATION CC8000 2013 BU: WB, Tool Condition: Down 国外
PKG PKG SILICON INDUSTRIAL EQUIPM QMO-6DS 2000 BU: WB, Tool Condition: Functiona 国外
PKG PKG SILICON INDUSTRIAL EQUIPM QMO-6DS 2003 BU: WB, Tool Condition: Functiona 国外
PKG PKG SILICON INDUSTRIAL EQUIPM QMO-6DS 2000 BU: WB, Tool Condition: Functiona 国外

页次: 7 / 9页 每页:500 设备数:4137   9[1][2][3][4][5][6][7][8][9]: 总共有9页

二手半导体设备交易平台semi1688.com
城市分站:北京龙玺精密 | 上海龙玺精密 | 深圳龙玺精密 | 成都龙玺精密 | 天津 | 香港 | 澳门 | 安徽 | 福建 | 广东 | 广西 | 贵州 | 甘肃 | 海南 | 河北 | 河南 | 黑龙江 | 湖北 | 湖南 | 吉林 | 江苏 | 江西 | 辽宁 | 内蒙古 | 宁夏 | 青海 | 陕西 | 山西 | 山东 | 四川 | 台湾 | 西藏 | 新疆 | 云南 | 浙江 | japan longxi | korea longxi | USA longxi
 
关于我们 | 产品中心 | 新闻资讯 | 非标定制 | 技术服务 | 联系我们 | 二手半导体设备采购平台
地址:宁波市奉化区东峰路80号or江苏徐州市贾汪区工业园 电话:18868521984龙先生
邮箱:ljb929@126.com 注:设备状态不定期更新,是否已售出请咨询。
    设备咨询,请加我微信
Copyright © 2019-2024 龙玺精密 版权所有 备案号:浙ICP备2021036227号
VGF单晶炉|高压VGF单晶炉|VTM单晶炉|SIC单晶炉|多晶合成炉|平面抛光机|平面研磨机|双面研磨机和抛光机|曲面抛光机|槽式清洗机|第二代半导体材料全自动清洗机|GASS立式甩干机|多工位甩干机|高温退火炉|低温立式炉|ALD原子层沉积设备|真空封焊炉|磁控溅射镀膜机|高真空多靶磁控溅射镀膜机|卷绕镀膜系统|电阻蒸发镀膜机|电子束蒸发镀膜机|机械手|手套箱|晶体生长设备|研磨抛光设备|材料清洗设备|真空镀膜设备|其他定制设备|二手半导体设备|国内二手半导体设备|国外二手半导体设备|二手半导体设备买卖|二手半导体设备翻新|二手半导体设备维护|二手半导体设备回收|二手半导体设备采购平台|二手半导体设备交易平台|切割机|划片机|光刻机|检测仪|测试仪|扫描电镜|蚀刻机|探针台|二手半导体设备|二手CANON设备|二手NIKON设备|二手DISCO设备|二手ASML设备|二手AMAT设备|二手TEL设备|二手LAM设备|二手KLA设备|二手Semics设备|二手Hitachi设备|二手半导体设备采购平台semi1688.com
VGF单晶炉|高压VGF单晶炉|VTM单晶炉|SIC单晶炉|多晶合成炉|平面抛光机|平面研磨机|双面研磨机|双面抛光机|曲面抛光机|槽式清洗机|第二代半导体材料全自动清洗机|GASS立式甩干机|多工位甩干机|高温退火炉|低温立式炉|ALD原子层沉积设备|真空封焊炉|磁控溅射镀膜机|高真空多靶磁控溅射镀膜机|卷绕镀膜系统|电阻蒸发镀膜机|电子束蒸发镀膜机|机械手|手套箱|晶体生长设备|研磨抛光设备|材料清洗设备|真空镀膜设备|其他定制设备|龙玺精密|国内二手设备|国外二手设备|二手半导体设备|二手设备买卖|二手设备翻新|二手设备回收|CANON二手设备|NIKON二手设备|DISCO二手设备|ASML二手设备|AMAT二手设备|TEL二手设备|LAM二手设备|KLA二手设备|SEMICS二手设备|HITACHI二手设备|芯片|晶圆|封装|半导体|切割机|划片机|光刻机|检测仪|测试仪|半导体设备|半导体封装|扫描电镜|蚀刻机|探针台|扩散炉