二手半导体设备交易平台semi1688.com
首页 CANON NIKON DISCO ASML AMAT TEL LAM KLA SEMICS HITACHI YOKOGAWA
图 片 设备名称 制造商 型号 年份 详细配置 状 态
PKG PKG KOSES KAM750S 2005 BU: WB, Tool Condition: Functiona 国外
PKG PKG Hanmi Semiconductor BGA VISION INSPECTION SYSTEM 2005 BU: WB, Tool Condition: Functiona 国外
Duocom HS-3640 Duocom HS-3640 Duocom HS-3640 2010 BU: WB, Tool Condition: Functiona 国外
Duocom HS-3640 Duocom HS-3640 Duocom HS-3640 2009 BU: WB, Tool Condition: Functiona 国外
PKG PKG APT VFS-60A 2012 BU: WB, Tool Condition: Functiona 国外
PKG PKG ZILIX ZT-LP1000 2005 BU: WB, Tool Condition: Functiona 国外
PKG PKG Dongyang FPM-61818-HMV 2006 BU: WB, Tool Condition: Functiona 国外
PKG PKG Dongyang DPM-618-BHMV 2009 BU: WB, Tool Condition: Functiona 国外
PKG PKG Dong Yang Semicon Equip. Co. L FLM-818MV 2003 BU: WB, Tool Condition: Down 国外
PKG PKG Dong Yang Semicon Equip. Co. L FLM-818MV 2004 BU: WB, Tool Condition: Down 国外
Component Component Jettech Ltd C990-S30-4M-4M 2009 BU: WB, Tool Condition: Down 国外
DISCO MEGCON II PRCII-2000ACD-SS DISCO MEGCON II PRCII-2000ACD-SS DISCO MEGCON II PRCII-2000ACD-SS 2012 BU: WB, Tool Condition: Down 国外
PKG PKG Various Chip Cap Line - BU: WB, Tool Condition: Functiona 国外
ATE ATE Hontech HT-7045 2013 BU: WB, Tool Condition: Functiona 国外
PKG PKG Manufacturing Integration Tech CAERUS C300i 2013 BU: WLD, Tool Condition: Function 国外
PKG PKG Manufacturing Integration Tech CAERUS C300i 2014 BU: WLD, Tool Condition: Function 国外
PKG PKG Manufacturing Integration Tech CAERUS MDS300 2010 BU: WLD, Tool Condition: Function 国外
PKG PKG Manufacturing Integration Tech CAERUS MDS300 2010 BU: WLD, Tool Condition: Down 国外
PKG PKG Manufacturing Integration Tech CAERUS MDS300 2008 BU: WLD, Tool Condition: Function 国外
PKG PKG Manufacturing Integration Tech CAERUS MDS300 2008 BU: WLD, Tool Condition: Function 国外
PKG PKG Manufacturing Integration Tech CAERUS MDS300 2010 BU: WLD, Tool Condition: Down 国外
PKG PKG Muhlbauer DS 10000 2007 BU: WLD, Tool Condition: Function 国外
PKG PKG Muhlbauer DS 10000 2008 BU: WLD, Tool Condition: Function 国外
PKG PKG Muhlbauer DS 10000 2008 BU: WLD, Tool Condition: Function 国外
PKG PKG Muhlbauer DS 10000 2010 BU: WLD, Tool Condition: Function 国外
PKG PKG Muhlbauer DS 10000 2008 BU: WLD, Tool Condition: Function 国外
PKG PKG Muhlbauer DS 10000 2011 BU: WLD, Tool Condition: Down 国外
PKG PKG Muhlbauer DS 10000 2009 BU: WLD, Tool Condition: Down 国外
Muhlbauer DS 10000 PKG Muhlbauer DS 10000 PKG Muhlbauer DS 10000 2006 BU: WLD, Tool Condition: Down 国外
Muhlbauer DS 10000 PKG Muhlbauer DS 10000 PKG Muhlbauer DS 10000 2006 BU: WLD, Tool Condition: Down 国外
Muhlbauer ARC20000 PKG Muhlbauer ARC20000 PKG Muhlbauer ARC20000 2017 BU: WLD, Tool Condition: Function 国外
Muhlbauer ARC20000 PKG Muhlbauer ARC20000 PKG Muhlbauer ARC20000 2017 BU: WLD, Tool Condition: Function 国外
Muhlbauer ARC20000 PKG Muhlbauer ARC20000 PKG Muhlbauer ARC20000 2017 BU: WLD, Tool Condition: Function 国外
Muhlbauer ARC20000 PKG Muhlbauer ARC20000 PKG Muhlbauer ARC20000 2017 BU: WLD, Tool Condition: Function 国外
NEUVIS iVIS iR2R ATE NEUVIS iVIS iR2R ATE NEUVIS iVIS iR2R 2015 BU: WLD, Tool Condition: Function 国外
NEUVIS iVIS iR2R ATE NEUVIS iVIS iR2R ATE NEUVIS iVIS iR2R 2015 BU: WLD, Tool Condition: Function 国外
NEUVIS iVIS iR2R ATE NEUVIS iVIS iR2R ATE NEUVIS iVIS iR2R 2015 BU: WLD, Tool Condition: Function 国外
NEUVIS iVIS iR2R ATE NEUVIS iVIS iR2R ATE NEUVIS iVIS iR2R 2015 BU: WLD, Tool Condition: Function 国外
NEUVIS iVIS iR2R ATE NEUVIS iVIS iR2R ATE NEUVIS iVIS iR2R 2015 BU: WLD, Tool Condition: Function 国外
FITO	TRI-1500 ATE FITO TRI-1500 ATE FITO TRI-1500 2016 BU: WLD, Tool Condition: Function 国外
KARL SUSS MA200光刻机 KARL SUSS MA200光刻机 KARL SUSS MA200 2012 BU: WLB, Tool Condition: Down 国外
FSI CT200 PKG FSI CT200 PKG FSI CT200 2010 BU: WLB, Tool Condition: Function 国外
Blue M DCC-336KY-UP55A PKG Blue M DCC-336KY-UP55A PKG Blue M DCC-336KY-UP55A 2012 BU: WLB, Tool Condition: Down 国外
RICHZ TECHNOLOGY CT805D PKG RICHZ TECHNOLOGY CT805D PKG RICHZ TECHNOLOGY CT805D - BU: WLB, Tool Condition: Down, 2 国外
FSI CT200 PKG FSI CT200 PKG FSI CT200 - BU: WLB, Tool Condition: Function 国外
FSI CT200 PKG FSI CT200 PKG FSI CT200 - BU: WLB, Tool Condition: Function 国外
Blue M DCC-336KY-UP55A PKG Blue M DCC-336KY-UP55A PKG Blue M DCC-336KY-UP55A 2012 BU: WLB, Tool Condition: Function 国外
Duocom HS-3640HC Duocom HS-3640HC Duocom HS-3640HC 2017 BU: TD WL, Tool Condition: Functi 国外
Asymtek M2000 SMT Asymtek M2000 SMT Asymtek M2000 1999 BU: TD WL, Tool Condition: Down 国外
ESEC 2008 HS3 PLUS PKG ESEC 2008 HS3 PLUS PKG ESEC 2008 HS3 PLUS 2011 BU: TD WL, Tool Condition: Down 国外
PKG PKG MAXI TECHNOLOGIES PTE LTD TRYMAX PANTHER 308 FOR EWLB 3 2006 BU: TD WL, Tool Condition: Functi 国外
KARL SUSS ACS300涂胶显影机 KARL SUSS ACS300涂胶显影机 KARL SUSS ACS300 2012 BU: eWLB, Tool Condition: Functio 国外
Cascade CM300-S ATE Cascade CM300-S ATE Cascade CM300-S 2016 BU: eWLB, Tool Condition: Functio 国外
ATHLETE BM-1110W PKG ATHLETE BM-1110W PKG ATHLETE BM-1110W 2009 BU: eWLB, Tool Condition: Functio 国外
ATHLETE BM1120WR SMT ATHLETE BM1120WR SMT ATHLETE BM1120WR 2010 BU: eWLB, Tool Condition: Functio 国外
EO Technics CSM3002 PKG EO Technics CSM3002 PKG EO Technics CSM3002 2013 BU: eWLB, Tool Condition: Functio 国外
Toray FC3000L2 PKG Toray FC3000L2 PKG Toray FC3000L2 2012 BU: TSV, Tool Condition: Down 国外
Tazmo TWS-M3111 PKG Tazmo TWS-M3111 PKG Tazmo TWS-M3111 2011 BU: TSV, Tool Condition: Down 国外
Tazmo TWS-D3111 PKG Tazmo TWS-D3111 PKG Tazmo TWS-D3111 2011 BU: TSV, Tool Condition: Down 国外
WKK QL-10EX Metrology WKK QL-10EX Metrology WKK DISTRIBUTION (SINGAPORE) P QL-10EX 2011 BU: TSV, Tool Condition: Function 国外
TREND ZONE LIMITED UH130-12 TREND ZONE LIMITED UH130-12 TREND ZONE LIMITED UH130-12 2011 BU: TSV, Tool Condition: Function 国外
SEMICS OPUS II ATE SEMICS OPUS II ATE SEMICS OPUS II 2006 BU: Test/Sort, Tool Condition: Fu 国外
SEMICS OPUS II ATE SEMICS OPUS II ATE SEMICS OPUS II 2006 BU: Test/Sort, Tool Condition: Fu 国外
SEMICS OPUS II ATE SEMICS OPUS II ATE SEMICS OPUS II 2006 BU: Test/Sort, Tool Condition: Fu 国外
SEMICS OPUS II ATE SEMICS OPUS II ATE SEMICS OPUS II 2006 BU: Test/Sort, Tool Condition: Fu 国外
SEMICS OPUS II ATE SEMICS OPUS II ATE SEMICS OPUS II 2006 BU: Test/Sort, Tool Condition: Fu 国外
SEMICS OPUS II ATE SEMICS OPUS II ATE SEMICS OPUS II 2004 BU: Test/Sort, Tool Condition: Fu 国外
SEMICS OPUS II ATE SEMICS OPUS II ATE SEMICS OPUS II 2006 BU: Test/Sort, Tool Condition: Fu 国外
SEMICS OPUS II ATE SEMICS OPUS II ATE SEMICS OPUS II 2005 BU: Test/Sort, Tool Condition: Do 国外
SEMICS OPUS II ATE SEMICS OPUS II ATE SEMICS OPUS II 2006 BU: Test/Sort, Tool Condition: Do 国外
SEMICS OPUS II ATE SEMICS OPUS II ATE SEMICS OPUS II 2006 BU: Test/Sort, Tool Condition: Fu 国外
SEMICS OPUS II ATE SEMICS OPUS II ATE SEMICS OPUS II 2006 BU: Test/Sort, Tool Condition: Fu 国外
SEMICS OPUS II ATE SEMICS OPUS II ATE SEMICS OPUS II 2005 BU: Test/Sort, Tool Condition: Fu 国外
SEMICS OPUS II ATE SEMICS OPUS II ATE SEMICS OPUS II 2005 BU: Test/Sort, Tool Condition: Fu 国外
SEMICS OPUS II ATE SEMICS OPUS II ATE SEMICS OPUS II 2005 BU: Test/Sort, Tool Condition: Fu 国外
SEMICS OPUS II ATE SEMICS OPUS II ATE SEMICS OPUS II 2005 BU: Test/Sort, Tool Condition: Fu 国外
SEMICS OPUS II ATE SEMICS OPUS II ATE SEMICS OPUS II 2005 BU: Test/Sort, Tool Condition: Fu 国外
SEMICS OPUS II ATE SEMICS OPUS II ATE SEMICS OPUS II 2004 BU: Test/Sort, Tool Condition: Fu 国外
SEMICS OPUS II ATE SEMICS OPUS II ATE SEMICS OPUS II 2004 BU: Test/Sort, Tool Condition: Fu 国外
SEMICS OPUS II ATE SEMICS OPUS II ATE SEMICS OPUS II 2005 BU: Test/Sort, Tool Condition: Fu 国外
SEMICS OPUS II ATE SEMICS OPUS II ATE SEMICS OPUS II 2004 BU: Test/Sort, Tool Condition: Fu 国外
SEMICS OPUS II ATE SEMICS OPUS II ATE SEMICS OPUS II 2004 BU: Test/Sort, Tool Condition: Fu 国外
ATHLETE BM1120WR SMT ATHLETE BM1120WR SMT ATHLETE BM1120WR 2013 BU: eWLB, Tool Condition: Functio 国外
RICHZ TECH CALTECH CT-1206D Component RICHZ TECH CALTECH CT-1206D Component RICHZ TECH CALTECH CT-1206D 2010 BU: eWLB, Tool Condition: Functio 国外
ATHLETE BM-1110W PKG ATHLETE BM-1110W PKG ATHLETE BM-1110W 2009 BU: eWLB, Tool Condition: Functio 国外
SSEC M3302 WET SSEC M3302 WET SSEC M3302 - BU: eWLB (JSI), Tool Condition: F 国外
Fusion M150PCU Track Fusion M150PCU Track Fusion M150PCU - - 国外
Fusion M150PCU Track Fusion M150PCU Track Fusion M150PCU - - 国外
Fusion M150PCU Track Fusion M150PCU Track Fusion M150PCU - - 国外
AMAT Producer SE CVD AMAT Producer SE CVD AMAT应用材料 Producer SE 2006 2 Twiin( HF_Apex3013, LF_PDX9002V 国外
Mattson AST3000 RTP Mattson AST3000 RTP Mattson AST3000 2004 2 Port, one chamber, GAS : NH3, N 国外
AMAT P5000刻蚀机 AMAT P5000刻蚀机 AMAT应用材料 P5000 1990 CVD Mark1, 3x DLH 国外
Mattson AST3000 RTP Mattson AST3000 RTP Mattson AST3000 1998 Rorze robot (RR711L1521-3A3-E11-1 国外
Electroglas EG2001 ATE Electroglas EG2001 ATE Electroglas EG2001 - - 国外
TEL NS300 Track TEL NS300 Track TEL NS300 2005 CSB (3Foup), ACT #3 Controller / 国外
KARL SUSS Gamma KARL SUSS Gamma KARL SUSS Gamma 2011 2C1D, 1HMDS, 3HP, 1CP, Specificat 国外
AMAT Centura Enabler Etch AMAT Centura Enabler Etch AMAT应用材料 Centura Enabler 2006 EFEM(NT, Yaskawa, missing Blade), 国外
AMAT Centura Enabler Etch AMAT Centura Enabler Etch AMAT应用材料 Centura Enabler 2006 EFEM(Server, Yaskawa), TM(VHP), 3 国外
AMAT Centura Enabler Etch AMAT Centura Enabler Etch AMAT应用材料 Centura Enabler 2008 EFEM(Server, Yaskawa), TM(VHP), 3 国外
SEZ	SP201 WET SEZ SP201 WET SEZ SP201 - : a) Post-Etch b) Backside/B 国外
AMAT Centura DPS2 Poly Etch AMAT Centura DPS2 Poly Etch AMAT应用材料 Centura DPS2 Poly 2007 EFEM(Kawasaki, Server), TM, 3x G5 国外
AMAT Centura DPS2 Poly Etch AMAT Centura DPS2 Poly Etch AMAT应用材料 Centura DPS2 Poly 2007 EFEM(Kawasaki, Server), TM, 3x G5 国外
AMAT Centura AP ISPRINT CVD AMAT Centura AP ISPRINT CVD AMAT应用材料 Centura AP ISPRINT 2008 4 xALD W CH, OS_SErver Type, AP F 国外
AMAT P5000刻蚀机 AMAT P5000刻蚀机 AMAT应用材料 P5000 - CVD Mark1, 2xDLH 国外
KLA Aleris CX KLA Aleris CX KLA科磊 Aleris CX 2007 [As-is] 2*loadport(TDK TAS300), Y 国外
TEL	LITHIUS Track TEL LITHIUS Track TEL LITHIUS 2007 2C5D, Inlined type(5500iZa), FOUP 国外
TEL LITHIUS Track TEL LITHIUS Track TEL LITHIUS 2006 5C5D, Inlined type(AT-850F), FOUP 国外
AMAT Centura Enabler E2 Etch AMAT Centura Enabler E2 Etch AMAT应用材料 Centura Enabler E2 2010 EFEM(Server, Yaskawa), TM(VHP), 3 国外
Semitool Raider ECD WET Semitool Raider ECD WET Semitool Raider ECD 2004 1 x Capsule , 2 xECD, 1 x SRD 国外
TOYOTA IPUP T100L Component TOYOTA IPUP T100L Component TOYOTA IPUP T100L - Loadlock Dry Pump V3.4 国外
Edwards STP-A2203LVS Component Edwards STP-A2203LVS Component Edwards STP-A2203LVS - Overhauled 国外
YOKOGAWA TS6700测试仪 YOKOGAWA TS6700测试仪 YOKOGAWA TS6700 2003 PM(8), Interface board missing 国外
YOKOGAWA TS6700测试仪 YOKOGAWA TS6700测试仪 YOKOGAWA TS6700 2003 PM(8), Interface board missing 国外
YOKOGAWA TS6700测试仪 YOKOGAWA TS6700测试仪 YOKOGAWA TS6700 2003 PM9(8), Interface board missing 国外
YOKOGAWA TS6700测试仪 YOKOGAWA TS6700测试仪 YOKOGAWA TS6700 2003 For special device config / PM(8) 国外
YOKOGAWA TS6700测试仪 YOKOGAWA TS6700测试仪 YOKOGAWA TS6700 2004 System, Test Head, Work Station, 国外
KOKUSAI VR-120SD KOKUSAI VR-120SD KOKUSAI VR-120SD - Single Load port, RORZE(Robot & a 国外
Mattson AST3000 RTP Mattson AST3000 RTP Mattson AST3000 2004 2Port Parts Machine 国外
Mattson AST3000plus RTP Mattson AST3000plus RTP Mattson AST3000plus 2003 2 Port, 1xchamber, GAS : H2, N2, 国外
Advantest M6300 Advantest M6300 Advantest M6300 - 22.5mm device pitch Config(NOT St 国外
AMAT Centura Avatar Etch AMAT Centura Avatar Etch AMAT应用材料 Centura Avatar - AVATAR 4x Chamber only 国外
LAM Strip45 Chamber Etch LAM Strip45 Chamber Etch LAM泛林 Strip45 Chamber 2010 Strip45 chamber only 国外
LAM Strip45 Chamber Etch LAM Strip45 Chamber Etch LAM泛林 Strip45 Chamber 2010 Strip45 chamber only 国外
Mattson AST3000 RTP Mattson AST3000 RTP Mattson AST3000 2003 2 Port, 1xchamber, GAS : H2, N2, 国外
LAM Strip45 Chamber Etch LAM Strip45 Chamber Etch LAM泛林 Strip45 Chamber 2010 Strip45 chamber only, Revolution 国外
YOKOGAWA TS6700测试仪 YOKOGAWA TS6700测试仪 YOKOGAWA TS6700 1995 Parts machine, Boards Missing 国外
YOKOGAWA TS6700测试仪 YOKOGAWA TS6700测试仪 YOKOGAWA TS6700 2004 PM(8), Interface board missing 国外
YOKOGAWA TS6700测试仪 YOKOGAWA TS6700测试仪 YOKOGAWA TS6700 2004 PM(8), Interface board missing 国外
ATEYOKOGAWA TS6700测试仪 ATEYOKOGAWA TS6700测试仪 YOKOGAWA TS6700 2004 PM(8), Interface board missing 国外
YOKOGAWA TS6700测试仪 YOKOGAWA TS6700测试仪 YOKOGAWA TS6700 2003 PM(8), UVI-A can be failed, Inter 国外
YOKOGAWA TS6700测试仪 YOKOGAWA TS6700测试仪 YOKOGAWA TS6700 2004 PM(8), HRD*3 fail, interface boar 国外
ASM	Dragon 2300 CVD ASM Dragon 2300 CVD ASM Dragon 2300 2003 RC1: SiCx, RC2:Aurora2.7 国外
NIKON OPTIPHOT 66 NIKON OPTIPHOT 66 NIKON OPTIPHOT 66 - [As-is] Lens:x5,x10,x20,x10, x0. 国外
LAM(Novellus) Vector SOLA xT CVD LAM(Novellus) Vector SOLA xT CVD LAM(Novellus) Vector SOLA xT 2011 UV Cure 国外
EBARA ESA25-D EBARA ESA25-D EBARA ESA25-D 2010 for MoCVD system 国外
EBARA ESA25-D EBARA ESA25-D EBARA ESA25-D - for MoCVD system 国外
EBARA ESA25-D EBARA ESA25-D EBARA ESA25-D 2008 for MoCVD system 国外
EBARA ESA25-D EBARA ESA25-D EBARA ESA25-D 2010 for MoCVD system 国外
Komatsu G20K4-1 Scanner Komatsu G20K4-1 Scanner Komatsu G20K4-1 2001 - 国外
Komatsu G20K4-1 Scanner Komatsu G20K4-1 Scanner Komatsu G20K4-1 2002 Part machine 国外
AMAT Endura CL PVD AMAT Endura CL PVD AMAT应用材料 Endura CL 2000 EFEM(2 Ports, Kensington), XP Rob 国外
ASML XT1250D光刻机 ASML XT1250D光刻机 ASML XT1250D 2004 1) Wafer 12inch
2) Reticle-6inch
国外
ASML XT1700FI光刻机 ASML XT1700FI光刻机 ASML XT1700FI 2012 1700iP, uniformity(1.01%),Cymer X 国外
AMAT Centura Enabler Etch AMAT Centura Enabler Etch AMAT应用材料 Centura Enabler 2010 EFEM(NT, Fixed Kawasaki), TM(VHP) 国外
AMAT Centura eMax CT+ Etch AMAT Centura eMax CT+ Etch AMAT应用材料 Centura eMax CT+ 2006 EFEM(Server, Single Yaskawa), TM, 国外
AMAT Centura eMax CT+ Etch AMAT Centura eMax CT+ Etch AMAT应用材料 Centura eMax CT+ 2004 EFEM, TM, 3x eMaX CT+, AC Rack 国外
GES CAYMAN CVD GES CAYMAN CVD GES CAYMAN 2002 Cayman, 2Twin( All Module Localiz 国外
AMAT Producer SE CVD AMAT Producer SE CVD AMAT应用材料 Producer SE 2007 ACL Process, 2 Twin Ch(HF_Apex301 国外
LAM(Novellus) Vector CVD LAM(Novellus) Vector CVD LAM(Novellus) Vector 2004 - 国外
Electroglas EG2001X Electroglas EG2001X Electroglas EG2001X - - 国外
Electroglas EG2001CX Electroglas EG2001CX Electroglas EG2001CX - - 国外
Electroglas EG2001X Electroglas EG2001X Electroglas EG2001X - - 国外
Electroglas EG2001X Electroglas EG2001X Electroglas EG2001X - - 国外
Nanometrics NanoSpec AFT 180 Nanometrics NanoSpec AFT 180 Nanometrics NanoSpec AFT 180 - - 国外
KLA Surfscan 2.1 KLA Surfscan 2.1 KLA科磊 Surfscan 2.1 - - 国外
KLA MPV CD2 AMC KLA MPV CD2 AMC KLA科磊 MPV CD2 AMC - - 国外
KLA MPV CD2 AMC KLA MPV CD2 AMC KLA科磊 MPV CD2 AMC - - 国外
AMAT Orbot WF720 Metrology AMAT Orbot WF720 Metrology AMAT应用材料 Orbot WF720 - - 国外
KLA MPV-CD KLA MPV-CD KLA科磊 MPV-CD - - 国外
KLA SFS7700 KLA SFS7700 KLA科磊 SFS7700 - - 国外
KLA Ergolux KLA Ergolux KLA科磊 Ergolux - - 国外
KLA INM100+INS10 KLA INM100+INS10 KLA科磊 INM100+INS10 - - 国外
Surftens Measurement Metrology Surftens Measurement Metrology Surftens Measurement - Measurement Surftens HL 4" 6" 国外
Electroglas EG2001X ATE Electroglas EG2001X ATE Electroglas EG2001X - - 国外
UNAXIS LLS900 PVD UNAXIS LLS900 PVD UNAXIS LLS900 - LLS900 国外
ICOS CI-5150 ATE ICOS CI-5150 ATE ICOS CI-5150 - - 国外
Multitest MT9308 ATE Multitest MT9308 ATE Multitest MT9308 - Automatic stack tube loader – tub 国外
Multitest MT9308 ATE Multitest MT9308 ATE Multitest MT9308 - Automatic stack tube loader – tub 国外
AXCELIS Microlite AXCELIS Microlite AXCELIS Microlite - - 国外
SVG 5204 Furnace SVG 5204 Furnace SVG 5204 - - 国外
Star 2000 Primer Component Star 2000 Primer Component Star 2000 Primer - - 国外
AMAT AMC7811 RTP外延炉 AMAT AMC7811 RTP外延炉 AMAT应用材料 AMC-7811 1990 Epitaxy 国外
AMAT AMC7800RPX RTP AMAT AMC7800RPX RTP AMAT应用材料 AMC7800RPX 1982 Epitaxy 国外
AMAT AMC7821 RTP AMAT AMC7821 RTP AMAT应用材料 AMC7821 1983 epitaxy 国外
AMAT AMC7821 RTP AMAT AMC7821 RTP AMAT应用材料 AMC7821 2001 OEM rebuild aug-2001 Epitaxy 国外
SVG 5204 Furnace SVG 5204 Furnace SVG 5204 - - 国外
EBARA UFP-200/300M EBARA UFP-200/300M EBARA UFP-200/300M - 2 SET 国外
Chemical Magnet Pump Chemical Magnet Pump TACMINA MG-16H-FTF-F-60-S - 1 SET 国外
GRINDER GRINDER JINGLV ELECTRON - - 1 SET 国外
OKAMOTO VG502MK2 OKAMOTO VG502MK2 OKAMOTO VG502MK2 - 1 SET 国外
DISCO DTU1531冷水机 DISCO DTU1531冷水机 DISCO DTU1531 - 1 SET 国外
Kanto Seiki U-FW-300A CHILLER Kanto Seiki U-FW-300A CHILLER Kanto Seiki U-FW-300A - 1 SET 国外
DAWON DS 3000 CHILLER DAWON DS 3000 CHILLER DAWON DS 3000 - 1 SET 国外
HD-01A CHILLER HD-01A CHILLER - HD-01A - 1 SET 国外
Single SCO1 CHILLER Single SCO1 CHILLER Single SCO1 - 1 SET 国外
Saw Incarnation SI-C10 CHILLER Saw Incarnation SI-C10 CHILLER Saw Incarnation SI-C10 - 1 SET 国外
JEIO TECH RW-JT-50L CHILLER JEIO TECH RW-JT-50L CHILLER JEIO TECH RW-JT-50L - 1 SET 国外
Daeho Auto Chiller CHILLER Daeho Auto Chiller CHILLER Daeho Auto Chiller - 1 SET 国外
JEIO TECH HX-55H CIRCULATOR JEIO TECH HX-55H CIRCULATOR JEIO TECH HX-55H - 1 SET 国外
ADT MW975 ADT MW975 ADT(K&S) MW975 - 1 SET 国外
NEON TECH NSD-68 SPIN DRYER NEON TECH NSD-68 SPIN DRYER NEON TECH NSD-68 - 1 SET 国外
Rhetech P28SR11S-10001 SPIN DRYER Rhetech P28SR11S-10001 SPIN DRYER Rhetech P28SR11S-10001 - 1 SET 国外
Saw Incarnation SI-S12 SPIN DRYER Saw Incarnation SI-S12 SPIN DRYER Saw Incarnation SI-S12 - 1 SET 国外
VERTEQ 1600-55A SPIN DRYER VERTEQ 1600-55A SPIN DRYER VERTEQ 1600-55A - 1 SET 国外
NCS1200 WAFER CLEANER NCS1200 WAFER CLEANER - NCS1200 - 1 SET 国外
HOUSING CLEANER HOUSING CLEANER - - - 1 SET 国外
PHOTO MASK SPINNER PHOTO MASK SPINNER ATIS Co., Ltd PHOTO MASK SPINNER - 1 SET 国外
Spin Cleaning Spin Cleaning - - - 1 SET 国外
Auto Flux Cleaner Auto Flux Cleaner THE SYSTEM TS_LAFW1000 - 1 SET 国外
DISCO DCS141清洗机 DISCO DCS141清洗机 DISCO DCS141 - 1 SET 国外
NGK	RC-1000A CO2 BUBBLER NGK RC-1000A CO2 BUBBLER NGK RC-1000A - 1 SET 国外
BOMYUNG CB-C-60 CO2 BUBBLER BOMYUNG CB-C-60 CO2 BUBBLER BOMYUNG CB-C-60 - 4 SET 国外
BOMYUNG CO2 BUBBLER BOMYUNG CO2 BUBBLER BOMYUNG CO2 BUBBLER - 1 SET 国外
NGK	RC2000ACDS CO2 BUBBLER NGK RC2000ACDS CO2 BUBBLER NGK RC2000ACDS - 2 SET 国外
NGK	RC1000ACDS CO2 BUBBLER NGK RC1000ACDS CO2 BUBBLER NGK RC1000ACDS - 1 SET 国外
NGK	RC1000ACD CO2 BUBBLER NGK RC1000ACD CO2 BUBBLER NGK RC1000ACD - 2 SET 国外
NOMURA	NDB3 CO2 BUBBLER NOMURA NDB3 CO2 BUBBLER NOMURA NDB3 - 1 SET 国外
NOMURA	NDB4 CO2 BUBBLER NOMURA NDB4 CO2 BUBBLER NOMURA NDB4 - 1 SET 国外
NGK	RC8000ACD CO2 BUBBLER NGK RC8000ACD CO2 BUBBLER NGK RC8000ACD - 1 SET 国外
DISCO DFD640切割机 DISCO DFD640切割机 DISCO DFD640 - 6 SET 国外
DISCO DFD650切割机 DISCO DFD650切割机 DISCO DFD650 - 1 SET 国外
DICING SAW SI-D26 DICING SAW SI-D26 SAW INCARNATION SI-D26 - 1 SET 国外
DISCO DAD522划片机 DISCO DAD522划片机 DISCO DAD522 - 3 SET 国外
SHINKAWA SPA300Super DIE BONDER SHINKAWA SPA300Super DIE BONDER SHINKAWA SPA300Super - 2 SET 国外
SHINKAWA SPA300 DIE BONDER SHINKAWA SPA300 DIE BONDER SHINKAWA SPA300 - 1 SET 国外
SHINKAWA SPA400 SHINKAWA SPA400 SHINKAWA SPA400 - 7 SET 国外
ASM	AD830 ASM AD830 ASM AD830 - 4 SET 国外
ASM	AD838L ASM AD838L ASM AD838L - 1 SET 国外
ASM IS8912DA固晶机 ASM IS8912DA固晶机 ASM IS8912DA - 1 SET 国外
ASM	AD809 ASM AD809 ASM AD809 - 1 SET 国外
ASM	AD8930 ASM AD8930 ASM AD8930 - 5 SET 国外
ASM	AD8930V ASM AD8930V ASM AD8930V - 3 SET 国外
PANASONIC DM60M-H PANASONIC DM60M-H PANASONIC DM60M-H - 2 SET 国外
LST LAB1200 LST LAB1200 LST LAB1200 - 1 SET 国外
Hoson GS850-PL Hoson GS850-PL Hoson GS850-PL - 1 SET 国外
Zeu Elex CM-100M Zeu Elex CM-100M Zeu Elex CM-100M - 1 SET 国外
Zeu Elex CM-100B Zeu Elex CM-100B Zeu Elex CM-100B - 2 SET 国外
ASM	IS-600 ASM IS-600 ASM IS-600 - 1 SET 国外
ASM	AD8930UV ASM AD8930UV ASM AD8930UV - 1 SET 国外
ROSWIN SUPER120 ROSWIN SUPER120 ROSWIN SUPER120 - 2 SET 国外
ROSWIN SUPER121 ROSWIN SUPER121 ROSWIN SUPER121 - 2 SET 国外
ROSWIN SUPER140 ROSWIN SUPER140 ROSWIN SUPER140 - 2 SET 国外
Bright Lux DB388TL Bright Lux DB388TL Bright Lux DB388TL - 1 SET 国外
ASM	ISLINDA ASM ISLINDA ASM ISLINDA - 4 SET 国外
SHINKAWA COF300 SHINKAWA COF300 SHINKAWA COF300 - 7 SET 国外
SHINKAWA COF1000 SHINKAWA COF1000 SHINKAWA COF1000 - 1 SET 国外
SHIBAURA TFC-2100-02 SHIBAURA TFC-2100-02 SHIBAURA TFC-2100-02 - 2 SET 国外
TOP ENGINEERING TIS-3000 TOP ENGINEERING TIS-3000 TOP ENGINEERING TIS-3000 - 1 SET 国外
SHIBAURA TFC-3200H SHIBAURA TFC-3200H SHIBAURA TFC-3200H - 1 SET 国外
LAURIER DS9000 LAURIER DS9000 LAURIER DS9000 - 1 SET 国外
STI ISORT STI ISORT STI ISORT - 1 SET 国外
STI duraSORT STI duraSORT STI duraSORT - 1 SET 国外
DATACON Fito-F3100 DATACON Fito-F3100 DATACON Fito-F3100 - 1 SET 国外
APTECH PP-R20 APTECH PP-R20 APTECH PP-R20 - 1 SET 国外
MUSASHI FAD2300 2S MUSASHI FAD2300 2S MUSASHI FAD2300 2S - 3 SET 国外
MUSASHI FAD2300 2L MUSASHI FAD2300 2L MUSASHI FAD2300 SL - 1 SET 国外
MUSASHI SM300S-3A MUSASHI SM300S-3A MUSASHI SM300S-3A - 1 SET 国外
MUSASHI FAD2200 MUSASHI FAD2200 MUSASHI FAD2200 - 1 SET 国外
MUSASHI	FAD2200D MUSASHI FAD2200D MUSASHI FAD2200D - 1 SET 国外
PROTEC FDS-1000M PROTEC FDS-1000M PROTEC FDS-1000M - 1 SET 国外
PROTEC FDS-1000 PROTEC FDS-1000 PROTEC FDS-1000 - 1 SET 国外
PROTEC Innovation T PROTEC Innovation T PROTEC Innovation T - 1 SET 国外
PROTEC FDS-5000DM PROTEC FDS-5000DM PROTEC FDS-5000DM - 2 SET 国外
PROTEC FDS-5000M PROTEC FDS-5000M PROTEC FDS-5000M - 4 SET 国外
PROTEC Phantasm ( 4 head ) PROTEC Phantasm ( 4 head ) PROTEC Phantasm ( 4 head ) - 2 SET 国外
MUSASHI TAD-1000M MUSASHI TAD-1000M MUSASHI TAD-1000M - 1 SET 国外
ASYMTEK X-1020 ASYMTEK X-1020 ASYMTEK X-1020 - 2 SET 国外
PROTEC FDS-1000MS PROTEC FDS-1000MS PROTEC FDS-1000MS - 1 SET 国外
PROTEC PHANTASM-MSS PROTEC PHANTASM-MSS PROTEC PHANTASM-MSS - 1 SET 国外
CS ENG CSX-W220 CS ENG CSX-W220 CS ENG CSX-W220 - 1 SET 国外
CS ENG Wafer Expander CS ENG Wafer Expander CS ENG Wafer Expander System - 1 SET 国外
Mitutoyo HM-124 Mitutoyo HM-124 Mitutoyo HM-124 - 1 SET 国外
JT CORPORATION JAI-D300 JT CORPORATION JAI-D300 JT CORPORATION JAI-D300 - 1 SET 国外
Rudolph NSX 320+SWS Rudolph NSX 320+SWS Rudolph NSX 320+SWS - 1 SET 国外
MACRO DEFECT INSPECTOR MACRO DEFECT INSPECTOR August Technology WHS - 1 SET 国外
KLA CI-T53P KLA CI-T53P KLA科磊 CI-T53P - 1 SET 国外
KLA ICOS T830测试系统 KLA ICOS T830测试系统 KLA科磊 ICOS T830 - 1 SET 国外
HANRA HRI-580L HANRA HRI-580L HANRA HRI-580L - 2 SET 国外
STI HEXA Whizz STI HEXA Whizz STI HEXA Whizz - 1 SET 国外
Rudolph NSX105 Rudolph NSX105 Rudolph NSX105 - 1 SET 国外
AVI DVI-C160 AVI DVI-C160 NATIONAL INSTRUMENTS DVI-C160 - 1 SET 国外
Vision Inspection Vision Inspection KWONSYS KWONSYS - 1 SET 国外
Vision Inspection Vision Inspection KWONSYS KWONSYS - 1 SET 国外
TAYLOR HOBSON PGI840 TAYLOR HOBSON PGI840 TAYLOR HOBSON PGI840 - 1 SET 国外
KOREA FUTURE TECH KFT-LM-01 KOREA FUTURE TECH KFT-LM-01 KOREA FUTURE TECH KFT-LM-01 - 1 SET 国外
DYNATECH DT-TR304-PR DYNATECH DT-TR304-PR DYNATECH DT-TR304-PR - 1 SET 国外
DYNATECH DT-ECS2030-PR DYNATECH DT-ECS2030-PR DYNATECH DT-ECS2030-PR - 1 SET 国外
DISCO DFL7160激光切割机 DISCO DFL7160激光切割机 DISCO DFL7160 - 1 SET 国外
KOSES KIM 509 KOSES KIM 509 KOSES KIM 509 - 1 SET 国外
EO Technics SLD-402 EO Technics SLD-402 EO Technics SLD-402 - 1 SET 国外
LASER Sourse LASER Sourse LEE LASER INC 818CQ / 40 / CED - 1 SET 国外
Lumonics	LW-Spe Lumonics LW-Spe Lumonics LW-Spe - 1 SET 国外
EO Technics SC-700 EO Technics SC-700 EO Technics SC-700 - 1 SET 国外
EO Technics SCF-200H EO Technics SCF-200H EO Technics SCF-200H - 1 SET 国外
Hae Sung Engineering MLM-HSE01083 Hae Sung Engineering MLM-HSE01083 Hae Sung Engineering MLM-HSE01083 - 1 SET PCB MARKING SYSTEM 国外
HANKUK MACSA NANO F HANKUK MACSA NANO F HANKUK MACSA NANO F - 1 SET 国外
HANMI HMP-260TB Mold HANMI HMP-260TB Mold HANMI HMP-260TB - 1 SET 国外
SEC TIPS435A SEC TIPS435A SEC TIPS435A - 4 SET 国外
MICROSCOPE MICROSCOPE HIROX KOREA' CX-5040-RZ'FOK-150W - 1 SET 国外
Light Controller Light Controller FIBER OPTICS KOREA - - 1 SET 国外
OLYMPUS OLS3000 OLYMPUS OLS3000 OLYMPUS OLS3000 - 1 SET 国外
USION HISOMET USION HISOMET USION HISOMET - 1 SET 国外
MICROSCOPE MICROSCOPE HIROX KOREA - - 1 SET 国外
MITUTOYO QS250Z1J MITUTOYO QS250Z1J MITUTOYO QS250Z1J - 1 SET 国外
IMS MI-1100 IMS MI-1100 - IMS MI-1100 - 1 SET 国外
3D MEASURING MACHINE 3D MEASURING MACHINE TSE VSP6000 - 1 SET 国外
JEOL JSM6380A JEOL JSM6380A JEOL JSM6380A - 1 SET 国外
STATIC CHECKING INSTRUMENT STATIC CHECKING INSTRUMENT - XK3150 - 1 SET 国外
GONIOPHOTOMETER GONIOPHOTOMETER WITHLIGHT OPI-305 - 1 SET 国外
AND GH-300 CTP-750 AND GH-300 CTP-750 AND GH-300 + CTP-750 - 1 SET 国外
AND GH-300 BAS-3230HD AND GH-300 BAS-3230HD AND GH-300 + BAS-3230HD - 1 SET 国外
AND BAS-3230HD AND BAS-3230HD AND BAS-3230HD - 2 SET 国外
Printer for Electronic Balance Printer for Electronic Balance BASOTEC BT-7000D - 1 SET 国外
Electronic scale Electronic scale DING JIAN - - 1 SET 国外
Electronic scale Electronic scale ACS - - 1 SET 国外
Electronic scale Electronic scale - BNI-A120 - 1 SET 国外
PUSH-PULL SCALE PUSH-PULL SCALE - - - 2 SET 国外
THERMAL ANALYSIS SYSTEM THERMAL ANALYSIS SYSTEM - TAS-120 - 1 SET 国外
AZ Instrument	AZ8808 AZ Instrument AZ8808 AZ Instrument AZ8808 - 1 SET 国外
AZ Instrument	AZ8809 AZ Instrument AZ8809 AZ Instrument AZ8809 - 1 SET 国外
SLD FGN-20 SLD FGN-20 SLD FGN-20 - 1 SET 国外
Simco FMX-003 Simco FMX-003 Simco FMX-003 - 1 SET 国外
Mitutoyo IP65 Mitutoyo IP65 Mitutoyo IP65 - 1 SET 国外
LIGHTHOUSE SOLAIR 1100+ LIGHTHOUSE SOLAIR 1100+ LIGHTHOUSE SOLAIR 1100+ - 1 SET 国外
Mitutoyo RKR565 Mitutoyo RKR565 Mitutoyo RKR565 - 1 SET 国外
Mitutoyo RES312 Mitutoyo RES312 Mitutoyo RES312 - 1 SET 国外
Mitutoyo	TKH321 Mitutoyo TKH321 Mitutoyo TKH321 - 1 SET 国外
SATO SIGMA-II HYDRO SATO SIGMA-II HYDRO SATO SIGMA-II HYDRO - 9 SET 国外
SATO NSII-Q SATO NSII-Q SATO NSII-Q - 2 SET 国外
ACL STATICIDE Model 800 ACL STATICIDE Model 800 ACL STATICIDE Model 800 - 1 SET 国外
Shin Han Static ESC-670 Shin Han Static ESC-670 Shin Han Static ESC-670 - 1 SET 国外
Light distribution meter Light distribution meter 0.7 Field - - 1 SET 国外
Luminance measurement system Luminance measurement system Cosmos Stem - - 1 SET 国外
LED automatic measuring system LED automatic measuring system PSI - - 1 SET 国外
light meter light meter Konica Minolta - - 1 SET 国外
VCM Auto Inspection M/C VCM Auto Inspection M/C SD Optics Co - - 1 SET 国外
VCM Tilt Meter VCM Tilt Meter Maztec - - 2 SET 国外
Automatic Torque Measuring Machine Automatic Torque Measuring Machine Wontech - - 1 SET 国外
Suruga K14_IQA Suruga K14_IQA Suruga K14_IQA - 1 SET 国外
GRAIGAR NDJ-8S GRAIGAR NDJ-8S GRAIGAR NDJ-8S - 1 SET 国外
KOKUYO KC605 KOKUYO KC605 KOKUYO KC605 - 1 SET 国外
Flare Tester Flare Tester Hyvision - - 1 SET 国外
Ball drop Impact Tester Ball drop Impact Tester - Ball drop Impact Tester-01 - 1 SET 国外
Ball drop Impact Tester Ball drop Impact Tester - Ball drop Impact Tester-02 - 1 SET 国外
LED MODULE TESTER LED MODULE TESTER TAE BAIK PRECISION TM-L130 #06 - 1 SET 国外
mass spectrometer mass spectrometer Thermo Fisher Scientific iCAP7400DUO ICP-OES - 1 SET 国外
mass spectrometer mass spectrometer Thermo Fisher Scientific - - 1 SET 国外
POONGLIM PL-S20 POONGLIM PL-S20 POONGLIM PL-S20 - 1 SET 国外
EME V-MINI 300 EME V-MINI 300 EME V-MINI 300 - 1 SET 国外
IKA T18 IKA T18 IKA T18 - 1 SET 国外
THINK ARV310 THINK ARV310 THINK ARV310 - 1 SET 国外
MUSASHI	AWATRON2 AW-MV310 MUSASHI AWATRON2 AW-MV310 MUSASHI AWATRON2 AW-MV310 - 1 SET 国外
DAEWHA TECH PASTE MIXER DAEWHA TECH PASTE MIXER DAEWHA TECH PASTE MIXER - 1 SET 国外
KEITHLEY 2700 KEITHLEY 2700 KEITHLEY 2700 - 1 SET 国外
ILSHIN AUTOCLAVE	ISA-7822 ILSHIN AUTOCLAVE ISA-7822 ILSHIN AUTOCLAVE ISA-7822 - 1 SET 国外
C-ON CS-SCS1000 C-ON CS-SCS1000 C-ON CS-SCS1000 - 2 SET 国外
ZEMOS CV-230AD 4 CHAMBER OVEN ZEMOS CV-230AD 4 CHAMBER OVEN ZEMOS CV-230AD - 5 SET 国外
ZEMOS EN-CV-230AD 4 CHAMBER OVEN ZEMOS EN-CV-230AD 4 CHAMBER OVEN ZEMOS EN-CV-230AD - 3 SET 国外
ZEMOS CV-330AD 4 CHAMBER OVEN ZEMOS CV-330AD 4 CHAMBER OVEN ZEMOS CV-330AD - 1 SET 国外
ZEMOS CV-150D 1 CHAMBER OVEN ZEMOS CV-150D 1 CHAMBER OVEN ZEMOS CV-150D - 4 SET 国外
WANGSAN WS-CO-400-4 WANGSAN WS-CO-400-4 WANGSAN WS-CO-400-4 - 2 SET 国外
WANGSAN WS-CO-500-4 WANGSAN WS-CO-500-4 WANGSAN WS-CO-500-4 - 2 SET 国外
WANGSAN WS-CO-600-1 WANGSAN WS-CO-600-1 WANGSAN WS-CO-600-1 - 2 SET 国外
WANGSAN WS-CO-500-6 WANGSAN WS-CO-500-6 WANGSAN WS-CO-500-6 - 2 SET 国外
WANGSAN WS-CO-600-6 WANGSAN WS-CO-600-6 WANGSAN WS-CO-600-6 - 1 SET 国外
ZEMOS ZFO-6-500V ZEMOS ZFO-6-500V ZEMOS ZFO-6-500V - 4 SET 国外
OSUNG OST-OVN11-BK02 OSUNG OST-OVN11-BK02 OSUNG OST-OVN11-BK02 - 1 SET 国外
OSUNG OS-OVN-CUO2 OSUNG OS-OVN-CUO2 OSUNG OS-OVN-CUO2 - 1 SET 国外
OVEN OVEN - - - 1 SET 国外
Jeio Tech OF-02GW 1 Chamber Oven Jeio Tech OF-02GW 1 Chamber Oven Jeio Tech OF-02GW - 1 SET 国外
Jeio Tech ON-02GW 1 Chamber Oven Jeio Tech ON-02GW 1 Chamber Oven Jeio Tech ON-02GW - 2 SET 国外
YEST YIL-2400 4 Chamber Oven YEST YIL-2400 4 Chamber Oven YEST YIL-2400 - 1 SET 国外
Clean Thermo CC04-12-02 Clean Thermo CC04-12-02 Clean Thermo CC04-12-02 - 1 SET 国外
Clean Oven Clean Oven Sunny Tech Trading - - 1 SET 国外
VACUUM OVEN VACUUM OVEN E-CATHARSIS 3-DIMENSIONAL - 1 SET 国外
Snap Cure Oven Snap Cure Oven ASM ISCOE-139HD - 1 SET 国外
Vaccum Oven Vaccum Oven ???? DVH2-333 (PUMP ??) - 1 SET 国外
Dry Oven Dry Oven - - - 1 SET 国外
Dry Oven Dry Oven Shinseky EDO-oven - 2 SET 国外
Duocom HS-3640 Duocom HS-3640 Duocom HS-3640 - 1 SET 国外
TEMP & HUMID CHAMBER TEMP & HUMID CHAMBER Shinseky Temp & Humid - 3 SET 国外
TEMP & HUMID CHAMBER TEMP & HUMID CHAMBER - Temp & Humid - 1 SET 国外
TEMP & HUMID CHAMBER TEMP & HUMID CHAMBER OSUNG OST-THB04-C07 - 1 SET 国外
TEMP & HUMID CHAMBER TEMP & HUMID CHAMBER THERMOTRON SM-8 - 1 SET 国外
TEMP & HUMID CHAMBER TEMP & HUMID CHAMBER ETAC TH401HA - 1 SET 国外
TEMP & HUMID CHAMBER TEMP & HUMID CHAMBER C&Y CNY-TO-1120 - 1 SET 国外
HITACHI	EC-15HHP恒温恒湿箱 HITACHI EC-15HHP恒温恒湿箱 HITACHI EC-15HHP - 1 SET 国外
HITACHI	ES-56L热冲击试验机 HITACHI ES-56L热冲击试验机 HITACHI ES-56L - 1 SET 国外
TEMP & HUMID CHAMBER TEMP & HUMID CHAMBER WANGSAN WS-TST-500 - 1 SET 国外
TEMP & HUMID CHAMBER TEMP & HUMID CHAMBER WANGSAN WS-THC-770 - 1 SET 国外
1 CHAMBER OVEN 1 CHAMBER OVEN KUK JE ENGINEERING OS01 - 1 SET 国外
Vacum Oven Vacum Oven SANGWOO SW-90DV40 - 1 SET 国外
Thermal shock tester Thermal shock tester Seoksan Technology 3ZONE - 1 SET 国外
CCM TESTER CCM TESTER isMedia isCMT-SK10L62H - 1 SET 国外
Sinter furnace Sinter furnace Shinhwa MTS SBF-25-14 - 1 SET 国外
DAHAN DH-4200 DAHAN DH-4200 DAHAN ENGINEERING DH-4200 - 2 SET 国外
EPOXY BAKE OVEN EPOXY BAKE OVEN SEMICON ENGINEERING GEO-971 - 1 SET 国外
OVEN OVEN OSUNG Convection Oven - 1 SET 国外
Vision VSP-88D PLASMA CLEANER Vision VSP-88D PLASMA CLEANER Vision VSP-88D - 1 SET 国外
Vision VSP-88D-T PLASMA CLEANER Vision VSP-88D-T PLASMA CLEANER Vision VSP-88D-T(including PUMP) - 1 SET 国外
Vision VSP-88H PLASMA CLEANER Vision VSP-88H PLASMA CLEANER Vision VSP-88H - 1 SET 国外
PLASMA CLEANER PLASMA CLEANER PSM Plasma Power Supply - 1 SET 国外
PLASMA CLEANER PLASMA CLEANER March PX1000 - 1 SET 国外
Jesagi Hankook JSPCS-750等离子清洗设备 Jesagi Hankook JSPCS-750等离子清洗设备 Jesagi Hankook JSPCS-750 - 1 SET including PUMP 国外
PLASMA CLEANER PLASMA CLEANER ETCH BT1 - 1 SET 国外
ACF PLASMA CLEANER ACF PLASMA CLEANER - ACCP-101(including PUMP) - 1 SET 国外
PLASMA CLEANER PLASMA CLEANER - JSPCS-600G (including PUMP) - 1 SET 国外
PLASMA CLEANER PLASMA CLEANER Vision VSP-88A(H) (including PUMP) - 1 SET 国外
PSM NPT V01 PLASMA CLEANER PSM NPT V01 PLASMA CLEANER PSM NPT V01 - 1 SET 国外
MANUAL POLISHER MANUAL POLISHER - ADE-230A - 1 SET 国外
DAGE 4000PA PULL TESTER DAGE 4000PA PULL TESTER DAGE 4000PA - 1 SET 国外
PULL TESTER PULL TESTER DAGE 2400A - 1 SET 国外
DAGE 4000 BS 5kg Cartridge DAGE 4000 BS 5kg Cartridge DAGE 4000 BS 5kg - 2 SET 国外
DAGE 4000 TP 5kg Cartridge DAGE 4000 TP 5kg Cartridge DAGE 4000 TP 5kg - 1 SET 国外
SINGULATION SINGULATION GENESEM GMLS-1000 - 1 SET 国外
MANUAL SINGULATION MANUAL SINGULATION - - - 1 SET 国外
SORTER SORTER SHIBUYA EH-184M - 1 SET 国外
SORTER SORTER SHIBUYA EH170 - 4 SET 国外
SORTER SORTER SHIBUYA EH182 - 2 SET 国外
SORTER SORTER NIHON GARTER NCS-1700V-E2 - 1 SET 国外
SORTER SORTER NIHON GARTER NCS-1700V - 1 SET 国外
SORTER SORTER ASM SLS230T - 4 SET 国外
SORTER SORTER ASTEK S-200SD - 1 SET 国外
SORTER SORTER NIHON GARTER NCS-1600IV - 1 SET 国外
SPECTROMETER SPECTROMETER Instrument Systems CAS 140CT-152 - 1 SET 国外
- - - KEITHLEY 2611A - 1 SET 国外
- - - 2"INTEGRATING SPHERE - 1 SET 国外
INTEGRATING SPHERE INTEGRATING SPHERE - 2m - 1 SET 国外
INTEGRATING SPHERE INTEGRATING SPHERE WITHLIGHT 1m - 1 SET 国外
INTEGRATING SPHERE INTEGRATING SPHERE WITHLIGHT 30cm - 1 SET 国外
INTEGRATING SPHERE INTEGRATING SPHERE WITHLIGHT OPI-100 - 1 SET 国外
INTEGRATING SPHERE INTEGRATING SPHERE Z-Vision ZWL-3907G - 1 SET 国外
TAPE & REEL TAPE & REEL STI TR98 - 4 SET 国外
TAPE & REEL TAPE & REEL STI TR48III - 1 SET 国外
TAKATORI ATM-8200 TAKATORI ATM-8200 TAKATORI ATM-8200 - 1 SET 国外
TAKATORI ATM-8100 TAKATORI ATM-8100 TAKATORI ATM-8100 - 1 SET 国外
WAFER TAPE MOUNTER WAFER TAPE MOUNTER DEASUNG DS-STMP - 1 SET 国外
WAFER TAPE MOUNTER WAFER TAPE MOUNTER DYNATECH DT-AWM1500 - 1 SET 国外
WAFER TAPE MOUNTER WAFER TAPE MOUNTER DYNATECH DT-MTM1020A - 1 SET 国外
WAFER TAPE MOUNTER WAFER TAPE MOUNTER DYNATECH DT-MWM 1030B - 1 SET 国外
Ring Cutter Ring Cutter NEON TECH - - 1 SET 国外
WAFER TAPE MOUNTER WAFER TAPE MOUNTER Ains System MT-01 - 1 SET 国外
NITTO MSA840 NITTO MSA840 NITTO MSA840 - 1 SET 国外
TAKATORI ATM-8650IDV TAKATORI ATM-8650IDV TAKATORI ATM-8650IDV - 2 SET 国外
WAFER TAPE MOUNTER WAFER TAPE MOUNTER AE(Advanced Engineering) MWM-850 - 1 SET 国外
SHIBUYA ETM465 SHIBUYA ETM465 SHIBUYA ETM465 - 1 SET 国外
TAPING TAPING YUNHUN PLUS - - 1 SET 国外
Spectrometer Spectrometer Teknologue LE3300 - 1 SET 国外
Teknologue LX4652C Teknologue LX4652C Teknologue LX4652C - 1 SET 国外
Tester Tester Teknologue PU4500A - 1 SET 国外
??? PC ??? PC - LX 4560 A - 1 SET 国外
TAPING TAPING NIHON GARTER NCT-5000 - 1 SET 国外
TAPING TAPING ASM SLT400 - 1 SET 国外
NIHON GARTER NCT-3700 NIHON GARTER NCT-3700 NIHON GARTER NCT-3700 - 4 SET 国外
TAPING TAPING AZTEK TI-200R - 4 SET 国外
CENTRIFUGAL ULTRASONIC CLEANER CENTRIFUGAL ULTRASONIC CLEANER Aolong-Morgan Tech Octopus8CX - 1 SET 国外
ULTRASONIC CLEANER ULTRASONIC CLEANER SONGMAO SM-60120ULH - 1 SET 国外
ULTRASONIC CLEANER ULTRASONIC CLEANER - HAS-3032T - 1 SET 国外
ULTRASONIC CLEANER ULTRASONIC CLEANER WOOJU ENG UG-1200 - 1 SET 国外
AUTO UV SYSTEM AUTO UV SYSTEM DYNATECH DT-AUV800D - 1 SET 国外
UV IRRADIATOR UV IRRADIATOR JUEN UV TECH JHCI-301S-RST - 1 SET 国外
UV IRRADIATOR (Conveyor Type) UV IRRADIATOR (Conveyor Type) Dongguan Xinpeng Machinery UVC323 - 1 SET 国外
UV IRRADIATOR (Conveyor Type) UV IRRADIATOR (Conveyor Type) Hyun Seung ECS-151U - 1 SET 国外
UV SYSTEM UV SYSTEM Raynix RX-H1000 - 1 SET 国外
PROMOS DUV-02 PROMOS DUV-02 PROMOS DUV-02 - 1 SET 国外
UV ERASER UV ERASER SPECTROLINE PC-9920A - 1 SET 国外
CHAMBER UV SYSTEM CHAMBER UV SYSTEM LGIT UVA 7.5 mW/square - 1 SET 国外
NITTO DENKO UVM-05-08扩散炉 NITTO DENKO UVM-05-08扩散炉 NITTO DENKO UVM-05-08 - 1 SET 国外
NITTO DENKO UM110扩散炉 NITTO DENKO UM110扩散炉 NITTO DENKO UM110 - 1 SET 国外
WIRE BONDER WIRE BONDER ASM EAGLE AERO - 1 SET 国外
WIRE BONDER WIRE BONDER ASM AB339E - 1 SET 国外
WIRE BONDER WIRE BONDER ASM AB339EAGLE - 1 SET 国外
WIRE BONDER WIRE BONDER ASM IHAWK - 2 SET 国外
WIRE BONDER WIRE BONDER ASM EAGLE60V - 1 SET 国外
WIRE BONDER WIRE BONDER EFSIKA SINGAPORE SPRINT WLB - 1 SET 国外
KNS 8028SG KNS 8028SG KNS 8028SG - 1 SET 国外
KNS 8028WG KNS 8028WG KNS 8028WG - 1 SET 国外
WIRE BONDER WIRE BONDER ASM Eagle Xtreme - 1 SET 国外
MANUAL WIRE BONDER MANUAL WIRE BONDER WEST BOND 7372B - 1 SET 国外
WIRE BONDER WIRE BONDER SHINKAWA UTC-1000 - - 4 SET 国外
KNS MAXUM ULTRA KNS MAXUM ULTRA KNS - - 29 SET 国外
HANDLER HANDLER ANDO AHM-861 - 18 SET 国外
Vitrox TR1000S Vitrox TR1000S Vitrox TR1000S - 1 SET 国外
HANDLER HANDLER Rasco SO3000A - 1 SET 国外
HANDLER HANDLER Cohu SO3000 Jaguar - 1 SET 国外
Manipulator Manipulator ARKTEK AMP-Y120 - 1 SET 国外
YOKOGAWA	AH9610 YOKOGAWA AH9610 YOKOGAWA AH9610 - 5 SET 国外
YOKOGAWA	AH9710 YOKOGAWA AH9710 YOKOGAWA AH9710 - 1 SET 国外
HANDLER HANDLER TNP SOLUTION HS600 - 2 SET 国外
SEMICS OPUS3 PROBER SEMICS OPUS3 PROBER SEMICS OPUS3 - 4 SET 国外
SEMICS MP700-75 SEMICS MP700-75 SEMICS MP700-75 - 3 SET 国外
SEMICS OPUS2 SEMICS OPUS2 SEMICS OPUS2 - 1 SET 国外
SEMICS OPUS2 MANIPULATOR SEMICS OPUS2 MANIPULATOR SEMICS OPUS2 MANIPULATOR - 1 SET 国外
TEL MANIPULATOR TEL MANIPULATOR TEL MANIPULATOR - 1 SET 国外
YOKOGAWA	ST6730A YOKOGAWA ST6730A YOKOGAWA ST6730A - 1 SET 国外
YOKOGAWA	TS6700 YOKOGAWA TS6700 YOKOGAWA TS6700 - 2 SET 国外
LTX CREDENCE FUSION MX LTX CREDENCE FUSION MX LTX CREDENCE FUSION MX - 1 SET 国外
TERADYNE MANIPULATOR TERADYNE MANIPULATOR TERADYNE 806-118-01 - 3 SET 国外
TERADYNE PDU-807816 TERADYNE PDU-807816 TERADYNE PDU-807816 - 3 SET 国外
TESTER TESTER NATIONAL INSTRUMENTS - - 1 SET 国外
TERADYNE A360Z TERADYNE A360Z TERADYNE A360Z - 2 SET 国外
TESTER TESTER ADVANTEST T6371 - 1 SET 国外
YOKOGAWA	TS6730 YOKOGAWA TS6730 YOKOGAWA ST6730 - 4 SET 国外
YOKOGAWA	TS670 YOKOGAWA TS670 YOKOGAWA TS670 - 1 SET 国外
TESTER Shelf TESTER Shelf ADVANTEST T7313 HADE Shelf - 2 SET 国外
TESTER WORK TABLE TESTER WORK TABLE ADVANTEST T7313 Work Table - 3 SET 国外
TESTER WORK TABLE TESTER WORK TABLE - - - 2 SET 国外
DIAMOND WIRE SAW DIAMOND WIRE SAW KOMATSU NTC PV500D (Chiller, TR included) - 1 SET 国外
DIAMOND WIRE SAW DIAMOND WIRE SAW KOMATSU NTC PV500FD - 15 SET 国外
DIAMOND WIRE SAW DIAMOND WIRE SAW MEYER BURGER OC288 - 1 SET 国外

页次: 8 / 9页 每页:500 设备数:4137   9[1][2][3][4][5][6][7][8][9]: 总共有9页

二手半导体设备交易平台semi1688.com
城市分站:北京龙玺精密 | 上海龙玺精密 | 深圳龙玺精密 | 成都龙玺精密 | 天津 | 香港 | 澳门 | 安徽 | 福建 | 广东 | 广西 | 贵州 | 甘肃 | 海南 | 河北 | 河南 | 黑龙江 | 湖北 | 湖南 | 吉林 | 江苏 | 江西 | 辽宁 | 内蒙古 | 宁夏 | 青海 | 陕西 | 山西 | 山东 | 四川 | 台湾 | 西藏 | 新疆 | 云南 | 浙江 | japan longxi | korea longxi | USA longxi
 
关于我们 | 产品中心 | 新闻资讯 | 非标定制 | 技术服务 | 联系我们 | 二手半导体设备采购平台
地址:宁波市奉化区东峰路80号or江苏徐州市贾汪区工业园 电话:18868521984龙先生
邮箱:ljb929@126.com 注:设备状态不定期更新,是否已售出请咨询。
    设备咨询,请加我微信
Copyright © 2019-2024 龙玺精密 版权所有 备案号:浙ICP备2021036227号
VGF单晶炉|高压VGF单晶炉|VTM单晶炉|SIC单晶炉|多晶合成炉|平面抛光机|平面研磨机|双面研磨机和抛光机|曲面抛光机|槽式清洗机|第二代半导体材料全自动清洗机|GASS立式甩干机|多工位甩干机|高温退火炉|低温立式炉|ALD原子层沉积设备|真空封焊炉|磁控溅射镀膜机|高真空多靶磁控溅射镀膜机|卷绕镀膜系统|电阻蒸发镀膜机|电子束蒸发镀膜机|机械手|手套箱|晶体生长设备|研磨抛光设备|材料清洗设备|真空镀膜设备|其他定制设备|二手半导体设备|国内二手半导体设备|国外二手半导体设备|二手半导体设备买卖|二手半导体设备翻新|二手半导体设备维护|二手半导体设备回收|二手半导体设备采购平台|二手半导体设备交易平台|切割机|划片机|光刻机|检测仪|测试仪|扫描电镜|蚀刻机|探针台|二手半导体设备|二手CANON设备|二手NIKON设备|二手DISCO设备|二手ASML设备|二手AMAT设备|二手TEL设备|二手LAM设备|二手KLA设备|二手Semics设备|二手Hitachi设备|二手半导体设备采购平台semi1688.com
VGF单晶炉|高压VGF单晶炉|VTM单晶炉|SIC单晶炉|多晶合成炉|平面抛光机|平面研磨机|双面研磨机|双面抛光机|曲面抛光机|槽式清洗机|第二代半导体材料全自动清洗机|GASS立式甩干机|多工位甩干机|高温退火炉|低温立式炉|ALD原子层沉积设备|真空封焊炉|磁控溅射镀膜机|高真空多靶磁控溅射镀膜机|卷绕镀膜系统|电阻蒸发镀膜机|电子束蒸发镀膜机|机械手|手套箱|晶体生长设备|研磨抛光设备|材料清洗设备|真空镀膜设备|其他定制设备|龙玺精密|国内二手设备|国外二手设备|二手半导体设备|二手设备买卖|二手设备翻新|二手设备回收|CANON二手设备|NIKON二手设备|DISCO二手设备|ASML二手设备|AMAT二手设备|TEL二手设备|LAM二手设备|KLA二手设备|SEMICS二手设备|HITACHI二手设备|芯片|晶圆|封装|半导体|切割机|划片机|光刻机|检测仪|测试仪|半导体设备|半导体封装|扫描电镜|蚀刻机|探针台|扩散炉