龙玺精密-为您提供二手半导体设备买卖+翻新服务,可按客户要求非标定制.18868521984龙先生
2024-4-28二手设备列表
关键字  
首页 CANON NIKON DISCO ASML AMAT TEL LAM KLA SEMICS HITACHI YOKOGAWA
ID 设备名称 制造商 型号 年份 详细配置 状 态
2373 AMAT Centura Ultima HDP AMAT应用材料 Centura Ultima HDP - 8 As-is 国外
2372 AMAT Centura Ultima AMAT应用材料 Centura Ultima - 8 As-is 国外
2371 AMAT Centura2 DPS+ Poly Etch AMAT应用材料 Centura2 DPS+ Poly Etch - 8 As-is 国外
2370 Aixtron G4 TM Aixtron G4 TM - 4 working 国外
2369 EVG 510 Wafer bonder EVG 510 Wafer bonder - 4-6 working 国外
2368 AST PEVA-600I AST PEVA-600I - 4-6 working 国外
2367 TEAMs ITO TEAMs ITO - 4-6 working 国外
2366 Aixtron AIX200 Aixtron AIX200 - 2 1998, R&D 国外
2365 TEL ALPHA 8S TEL ALPHA 8S - 8 As-is 国外
2364 Hamai Hamai - - 12 As-is 国外
2363 Peter Wolters AC-1500P Peter Wolters AC-1500P - 12 Overhaul Con 国外
2362 BBS E-450 Prime BBS E-450 Prime - 8 As-is 国外
2361 LEICA INM300 LEICA INM300 - 8 As-is 国外
2360 KLA P-15单向节流阀 KLA科磊 P-15 - 8 As-is 国外
2359 KLA PHX DF 5.0 KLA科磊 PHX DF 5.0 - 8 As-is 国外
2358 KLA Ultrascan 9300 KLA科磊 Ultrascan 9300 - 8 As-is 国外
2357 KLA Ultrascan 9000光测量系统 KLA科磊 Ultrascan 9000 - 8 As-is 国外
2356 KLA AFS-3220 KLA科磊 AFS-3220 - 8 As-is 国外
2355 KLA Surfscan SP3晶圆缺陷检测 KLA科磊 SP3 - 6-12 As-is 已售出
2354 KLA Surfscan SP1 DLS晶圆检测仪 KLA科磊 SP1-DLS - 6-12 As-is 国外
2353 HITACHI RS-5500扫描电子显微镜 HITACHI RS-5500 - 8 Initial Condi 国外
2352 TEL ACT12 TEL ACT12 - 12 Parts MC 国外
2351 NIKON NSR 4425光刻机 NIKON NSR-4425 - 6,9 Initializin 国外
2350 NIKON NSR 2205i11D光刻机 NIKON NSR-2205i11D - 6,8 Initializin 国外
2349 NIKON NSR S204B分步投影光刻机 NIKON NSR-S204B - 6,8 Initial Con 国外
2348 NIKON NSR S203B光刻机 NIKON NSR-S203B - 6,8 Initial Con 国外
2347 TEL MARK7涂胶显影机 TEL MARK7 - 6 As-is 1C2D 国外
2346 AMAT P5000 PLIS刻蚀机 AMAT应用材料 P5000 PLIS - 翻新机Standard TEO 国外
2345 DNS SK80A 2C 1D DNS SK80A 2C 1D - 8 REFURB 国外
2344 DNS SK80BW 3C3D DNS SK80BW 3C3D - 8 As-is 国外
2343 TEL 8S-E POLC3 TEL 8S-E POLC3 - 6,8 working 国外
2342 AXCELIS NV-GSD-80 AXCELIS NV-GSD-80 - 6,8 REFURB 国外
2341 NIKON NSR SF130光刻机 NIKON NSR-SF130 2004 韩国入库,以packing状态 国外
2340 NIKON NSR S204B分步投影光刻机 NIKON NSR-S204B - 有2台已翻新+安装调试+质保
国外
2339 HITACHI S-4700扫描电子显微镜 HITACHI S-4700 2001 - 国外
2338 DISCO DFL7340全自动激光切割机 DISCO DFL7340 2010/11 有2台 已售出
2337 DISCO DFD6360切割机 DISCO DFD6360 2005 - 国外
2336 Besi Molding设备Fico AMSW40306 Besi Molding Fico AMSW40306 2010 - 国外
2335 MKS / ASTEX AX 8200A MKS ASTEX AX 8200A - 5台打包卖 国外
2334 LAM RESEARCH 2300多晶硅蚀刻机 LAM泛林 2300 2005 missing parts缺件 已售出
2333 DISCO DFG840减薄机 DISCO DFG840 1997 - 国外
2332 NIKON NSR 2205i11C光刻机 NIKON NSR-2205i11C 1995 Stepper, 6"
Ty
国外
2331 AMAT PRODUCER GT化学气相沉积 AMAT应用材料 PRODUCER GT化学气相沉积 2007 晶圆尺寸:300mm
生产者
国外
2330 AMAT PRODUCER GT化学气相沉积 AMAT应用材料 PRODUCER GT化学气相沉积 2008 晶圆尺寸:300mm
生产者
国外
2329 DNS SS-3000AR DNS SS-3000AR 2007 - 国外
2328 NIKON NSR S204B分步投影光刻机 NIKON NSR-S204B 1999年 - 国外
2327 AMAT P5000刻蚀机 AMAT应用材料 P5000 - 2CH / 3CH 国外
2326 NIKON NSR SF130光刻机 NIKON NSR-SF130 2005 - 已售出
2325 NIKON NSR S205C光刻机 NIKON NSR-S205C - - 国外
2324 CANON FPA6000es5步进式光刻机 CANON FPA6000es5 2003 - 国外
2323 OXFORD Plasmalab 800 Plus OXFORD牛津 Plasmalab 800 Plus - PECVD System 国外
2322 OXFORD Plasmalab 80 Plus OXFORD牛津 Plasmalab 80 Plus - RIE System 国外
2321 DISCO DFD6360切割机 DISCO DFD6360 2005 - 国外
2320 KLA ACROTEC6020 KLA科磊 ACROTEC 6020 - Inspection syst 国外
2319 HITACHI S-5200扫描电子显微镜(SEM) HITACHI S-5200 - - 国外
2318 HITACHI S-4500扫描电子显微镜 HITACHI S-4500 1995 FE-SEM 国外
2317 HITACHI S-8820扫描电子显微镜(CD-SEM) HITACHI S-8820 6寸 Automatic dry-c 国外
2316 DISCO DFD6750全自动切割机 DISCO DFD6750 2012 尺寸:1510×1554×18 国外
2315 KARL SUSS MA6单/双面光刻机 KARL SUSS MA/BA6单/双面光刻机 - 已翻新好 国内
2314 TOK TCE-3822蚀刻设备 TOK TCE-3822 2011 电源:三相 200V 125A 国外
2313 LAM RESEARCH 9400 SE等离子刻蚀机 LAM泛林 9400 SE 1997 整机完整不缺件 国外
2312 Origin Origin JPF-3A Origin Origin JPF-3A 2003 Cap sealing 国外
2311 KLA Tencor UV-1280SE薄膜测量系统 KLA科磊 UV1280SE 2000 Film Thickness 国外
2310 KLA Filmetrics F20薄膜测厚仪 KLA科磊 F20 2021 Thickness Measu 国外
2309 Olympus STM-6 Olympus STM-6 Microscope 国外
2308 TEL INDY Plus-B-M 12" TEL INDY Plus-B-M 2010 Furance 国外
2307 TEL TE8500刻蚀机 TEL TE8500P - 6" Dry Etch 国外
2306 DNS SK-200W-AVP(2C2D) 6'' DNS SK-200W-AVP(2C2D) 1998 Track 国外
2305 ULVAC V8-100 ULVAC爱发科 V8-100 1998-2000 6'Furance 国外
2304 ADE 9500 ADE 9500 - Multifunctional 国外
2303 AMAT Vera SEM 3D测量系统 AMAT应用材料 Vera SEM 3D - Metrology 国外
2302 AMAT Vera SEM 3D测量系统 AMAT应用材料 Vera SEM 3D - Metrology 国外
2301 TEL Telius SP 12" TEL Telius SP - Dry Etch 国外
2300 TEL SCCM TE 12" TEL SCCM TE - Dry Etch 国外
2299 DISCO DFL7160激光切割机 DISCO DFL7160 2012 Laser saw 国外
2298 Oxford PlasmaLab 80 Plus OXFORD牛津 PlasmaLab 80 Plus - PECVD 国外
2297 EBARA EPO222T 12" EBARA EPO222T 2004 CMP 国内
2296 ASML AT-1150C光刻机 ASML AT-1150C 2004 Stepper 12" 国内
2295 LTX CREDENCE Fusion CX LTX CREDENCE Fusion CX - Mixed signal te 国外
2294 Rudolph NSX-105 (Parts machine) 8" Rudolph NSX-105 (Parts machine) 2008 Inspection 国外
2293 TEL TE-8401 8" TEL TE-8401 1996 Dry Etcher 国外
2292 RUDOLPH AXI-935晶圆检测仪 RUDOLPH AXI-935 2007 12寸,设备在台湾。 国内
2291 KLA-Tencor M-Gage 300 KLA科磊 M-Gage 300 2001 Al Thickness me 国外
2290 CANON-ANELVA ILD-4100SR 8 CANON ILD-4100SR 1996 OX Dry Etcher 国外
2289 Rudolph Rudolph FE-Ⅶ 2002 Thickness Measu 国外
2288 Rudolph Rudolph FE-Ⅳ 1995 Thickness Measu 国外
2287 NIKON NSR S204B(激光器是giga) NIKON NSR-S204B - Manufacturer : 国外
2286 NIKON NSR 2205i11D光刻机 NIKON NSR-2205i11D 1997.1 木制包装和运输由买方承担
国外
2285 NIKON NSR 2205i14E2光刻机 NIKON NSR-2205i14E2 - 8英寸晶圆,没有任何缺件,正常 国外
2284 KLA Surfscan SP1 TBI晶圆检测系统 KLA科磊 SP1-TBI - 有两台 国外
2283 Aixtron AIX 2600 G3 HT MOCVD设备 Aixtron AIX 2600 G3 HT 2006 The owner of th 国外
2282 DNS SU3200 Scrubber *2set For sale DNS SU3200 2014 1)1)DNS SU3200 国外
2281 TEL MARK7涂胶显影机 TEL MARK7 - 2C 3D生产线工作条件 国外
2280 TEL MARK8涂胶显影机 TEL MARK8 - 1C 2D原样仓库 国外
2279 NIKON NSR 2205i11D光刻机 NIKON NSR-2205i11D - 生产线工作条件 国外
2278 ACCRETECH AWD-100A ACCRETECH东京精密 AWD-100A 2001 2001年 8" 国外
2277 DNS SU-3100清洗设备 DNS SU-3100 - 无HDD系统 国外
2276 DISCO DFD6360切割机 DISCO DFD6360 2004 有2台可竞价 国外
2275 Varian E500 EHP离子注入机 Varian E500 EHP 2000.10 1、维护良好,位于欧洲
2、
国外
2274 HITACHI S-4700扫描电子显微镜 HITACHI S-4700 - 扫描电子显微镜(SEM),传输 国外
2273 TEL LITHIUS清洗设备 TEL LITHIUS 2003.12 韩国价 国外
2272 DNS SU-3000清洗设备 DNS SU-3000 2006.10 有12个部分详细照片(含到国内 国外
2271 LTX CREDENCE KALOS XW内存测试仪 LTX CREDENCE KALOS XW 2004 Credence KALOS 国外
2270 AMAT P5000刻蚀机 AMAT应用材料 P5000 1995 2 chambers CVD
国外
2269 TEL SP-308蚀刻去胶设备 TEL SP-308 2007 按现状,有3台 国外
2268 DISCO DFL7340全自动激光切割机 DISCO DFL7340 2010 Laser dicing sa 国外
2267 Aixtron AIX 2600 G3 HT Aixtron AIX 2600 G3 HT 2006 III-N Process
国外
2266 DISCO DTU1531冷水机 DISCO DTU1531 2005/2006 有2台 国外
2265 ACCRETECH TSK 300TX探针台 ACCRETECH东京精密 300TX 2010 - 国外
2264 ACCRETECH TSK 3000T探针台 ACCRETECH东京精密 3000T 2012 韩国 国外
2263 ULVAC SRH-420Z溅射台 ULVAC爱发科 SRH-420Z - - 国外
2262 ULVAC SRH-420Z溅射台 ULVAC爱发科 SRH-420Z - - 国外
2261 AMAT APPLIED MATERIALS Centura 5200 AMAT应用材料 CENTURA 5200 - CVD System,6"(3 国外
2260 AMAT APPLIED MATERIALS Centura AP Minos AMAT应用材料 Centura AP Minos Polysili - - 国内
2259 NIKON NSR 1505G7E光刻机 NIKON NSR-1505G7E 1990 NIKON NSR 1505 国外
2258 DISCO DFD6360切割机 DISCO DFD6360 2004 Dicing saw, 12" 已售出
2257 NIKON NSR 2205i12C光刻机 NIKON NSR-2205i12C 1997 6"/150mm 翻新 国内
2256 KLA Surfscan SP1 TBI晶圆检测系统 KLA科磊 SP1-TBI 2000 Kla-tencor One 已售出
2255 自动撕金机(剥离机) ASAP公司 LOA24-900-10 2012-11-01 260台设备可打包或单独出售 国内
2254 CPM有机清洗机 新耘实业股份有限公司 SB-155S 2014-11-01 260台设备可打包或单独出售 国内
2253 显影机 CND PLUS CO.,LTD David 2010-08-01 260台设备可打包或单独出售 国内
2252 匀胶机 CND PLUS CO.,LTD David 2010-08-01 260台设备可打包或单独出售 国内
2251 氧气柜 合肥真萍电子科技 2011-08-01 260台设备可打包或单独出售 国内
2250 氧气柜 上海源拓净化设备 2011-08-01 260台设备可打包或单独出售 国内
2249 氧气柜 上海源拓净化设备 2011-08-01 260台设备可打包或单独出售 国内
2248 氧气柜 上海源拓净化设备 2011-08-01 260台设备可打包或单独出售 国内
2247 氧气柜 上海源拓净化设备 2011-08-01 260台设备可打包或单独出售 国内
2246 氧气柜 上海源拓净化设备 2011-08-01 260台设备可打包或单独出售 国内
2245 氧气柜 上海源拓净化设备 2011-08-01 260台设备可打包或单独出售 国内
2244 OLYMPUS SZ51显微镜 OLYMPUS奥林巴斯 SZ51 2015-03-28 260台设备可打包或单独出售 国内
2243 OLYMPUS SZ51显微镜 OLYMPUS奥林巴斯 SZ51 2009-08-01 260台设备可打包或单独出售 国内
2242 氧气柜 上海源拓净化设备 2011-08-01 260台设备可打包或单独出售 国内
2241 电热鼓风烤箱 JS-DHK9203 2018-07-04 260台设备可打包或单独出售 国内
2240 防震台 上海微 2019-04-30 260台设备可打包或单独出售 国内
2239 深圳锐拓设备一批 2016-03-01 260台设备可打包或单独出售 国内
2238 电热鼓风烤箱 JS-DHK9203 2018-07-04 260台设备可打包或单独出售 国内
2237 自动撕金机 - LOA6-220 2016-03-01 260台设备可打包或单独出售 国内
2236 DISCO DFL7340全自动激光切割机 DISCO DFL7340 2011-08-01 260台设备可打包或单独出售 已售出
2235 DISCO DFL7340全自动激光切割机 DISCO DFL7340 2011-11-01 260台设备可打包或单独出售 已售出
2234 LAM TCP9400氮化镓刻蚀机 LAM泛林 TCP9400(备用机) 2018-12-24 260台设备可打包或单独出售 已售出
2233 DISCO DFL7340全自动激光切割机 DISCO DFL7340 2011-03-01 260台设备可打包或单独出售 已售出
2232 DISCO DFL7340全自动激光切割机 DISCO DFL7340 2011-08-01 260台设备可打包或单独出售 已售出
2231 DISCO DFL7340全自动激光切割机 DISCO DFL7340 2011-08-01 260台设备可打包或单独出售 已售出
2230 SAMCO RIE-331IPC刻蚀机 SAMCO RIE-331IPC (33A2910) 2014-05-01 260台设备可打包或单独出售 国内
2229 DISCO DFL7340全自动激光切割机 DISCO DFL7340 2011-08-01 260台设备可打包或单独出售 已售出
2228 隐形激光划片机 SLS-200 2017-03-01 260台设备可打包或单独出售 国内
2227 DISCO DFL7340全自动激光切割机 DISCO DFL7340 2011-08-01 260台设备可打包或单独出售 已售出
2226 全自动制片机(单焦点) 大族激光科技产业集团股 DSI9288-L 2018-07-04 260台设备可打包或单独出售 国内
2225 氧化狄标准样片 芜湖市捷源机电设备有限 666-F1 2019-04-30 260台设备可打包或单独出售 国内
2224 自动裂片机 宏腾科技股份有限公司 WB-1100HE 2013-02-01 260台设备可打包或单独出售 国内
2223 自动裂片机 宏腾科技股份有限公司 WB-1100HE 2011-12-01 260台设备可打包或单独出售 国内
2222 WB-1100HE裂片机 宏腾科技股份有限公司 WB-1100HE 2011-12-01 260台设备可打包或单独出售 国内
2221 LED晶圆全自动劈裂机 GTA GT-100 2014-10-01 260台设备可打包或单独出售 国内
2220 全自动点测机-晶圆点测机 Innobize (Z-AWP1000 (IZ-D1102-001) 2014-05-01 260台设备可打包或单独出售 国内
2219 全自动减薄机 苏州思普新科技有限公司 NSG-1100V(SSG-13V-12004) 2012-08-27 260台设备可打包或单独出售 国内
2218 全自动研磨机 苏州恩普斯科技有双公司 NSG-2020(SSL-2BS-11012) 2012-05-14 260台设备可打包或单独出售 国内
2217 全自动研磨机 苏州恩普斯科技有双公司 NSL-2020(SSL-2BS-11016) 2012-08-07 260台设备可打包或单独出售 国内
2216 ITO酸槽清洗机 新耘实业股份有限公司 WS-150-ITO 2014-11-04 260台设备可打包或单独出售 国内
2215 Reworidtt槽清洗机 新耘实业股份有限公司 WS-150-REWORK 2014-11-04 260台设备可打包或单独出售 国内
2214 显影机Developer SVS MSX1OOO(101052) 2010-08-01 260台设备可打包或单独出售 国内
2213 显影机Deveioper SVS MSX1OOO(1O1051) 2010-08-01 260台设备可打包或单独出售 国内
2212 有机自动清洗机 ATIS公司 MAGIC-452S 2014-11-01 260台设备可打包或单独出售 国内
2211 立体显微镜 SZ51 2019-06-11 260台设备可打包或单独出售 国内
2210 体式显微镜 奥林巴斯株式会社 SZ51 2019-06-11 260台设备可打包或单独出售 国内
2209 体式显微镜 奥林巴斯株式会社 SZ51 2019-06-11 260台设备可打包或单独出售 国内
2208 同轴光源显微镜 SZ51 2019-06-11 260台设备可打包或单独出售 国内
2207 同轴光源显微镜 SZ51 2019-06-11 260台设备可打包或单独出售 国内
2206 同轴光源显微镜 SZ51 2019-06-11 260台设备可打包或单独出售 国内
2205 同轴光源显微镜 SZ51 2019-06-11 260台设备可打包或单独出售 国内
2204 同轴光源显微镜 SZ51 2019-06-11 260台设备可打包或单独出售 国内
2203 同轴光源显微镜 SZ51 2019-06-11 260台设备可打包或单独出售 国内
2202 同轴光源显微镜 SZ51 2019-06-11 260台设备可打包或单独出售 国内
2201 同轴光源显微镜 SZ51 2019-06-11 260台设备可打包或单独出售 国内
2200 同轴光源显微镜 SZ51 2019-06-11 260台设备可打包或单独出售 国内
2199 电加热尾气处理器 BR-4 2019-04-30 260台设备可打包或单独出售 国内
2198 电加热尾气处理器 BR-4 2019-04-30 260台设备可打包或单独出售 国内
2197 EKC有机清洗机 新耘实业股份有限公司 WS-150-ACE+EKC K014O70O267 2014-11-01 260台设备可打包或单独出售 国内
2196 倒装焊接设备 panasonlc MDP200-GGI 2016-04-01 260台设备可打包或单独出售 国内
2195 LED晶粒外观检查机 政美应用股份有限公司 DISA-T3H 2014-12-01 260台设备可打包或单独出售 国内
2194 ACE有机清洗机 新耘实业股份有限公司 SB-155S - 260台设备可打包或单独出售 国内
2193 自动目测机 IMS LVIS-V 2012-12-15 260台设备可打包或单独出售 国内
2192 自动目测机 IMS LVIS-V 2012-12-15 260台设备可打包或单独出售 国内
2191 隐形激光划片机 QMC CO,Ltd PLS-600 2014-09-01 260台设备可打包或单独出售 国内
2190 隐形激光划片机 QMC CO,Ltd PLS-600 2014-09-01 260台设备可打包或单独出售 国内
2189 高温硫磷酸加热设备 ATIS公司 SHT-UHS72 2009-09-01 260台设备可打包或单独出售 国内
2188 高温手动清洗设备 AT1S公司 JA1206 2009-09-01 260台设备可打包或单独出售 国内
2187 化学镀金机 ATIS公司 2010-11-01 260台设备可打包或单独出售 国内
2186 ULVAC EI-OPI085光学膜蒸发镀膜设备(背镀) ULVAC爱发科 EI-OPI085 2010-12-01 260台设备可打包或单独出售 国内
2185 全自动晶圆软抛机 苏州恩普斯科技有限公司 NSC-4036(SMC-4ES-12004) 2012-10-10 260台设备可打包或单独出售 国内
2184 Innobize IZ-HAS1000 LED Sorter Innobize IZ-HAS1000 2012-12-15 260台设备可打包或单独出售 国内
2183 杰特 JTE-M100全自动洗碗机 四川杰特机器有限公司 JTE-M100 2015-08-01 260台设备可打包或单独出售 国内
2182 防震台 协伟集成电路设备(上海 2550*1950*610mm 2015-08-01 260台设备可打包或单独出售 国内
2181 防震台 协伟集成电路设备(上海 2550*1950*610mm 2015-08-01 260台设备可打包或单独出售 国内
2180 镀锅 上海佛裕商贸有限公司 2016-11-01 260台设备可打包或单独出售 国内
2179 防震台 协伟集成电路设备(上海 1800*1650*610mm 2016-06-01 260台设备可打包或单独出售 国内
2178 防震台 协伟集成电路设备(上海 1800*1650*610mm 2016-06-01 260台设备可打包或单独出售 国内
2177 电热水洗式废气处理器 上海兄弟微电子技术有限 ISIS-1 2017-09-01 260台设备可打包或单独出售 国内
2176 裂片机 宏腾科技股份有限公司 WB-1100HE 2011-12-01 260台设备可打包或单独出售 国内
2175 自动抽测机 旺矽科技股份有限公司 IEDA-8F P7202 2012-01-01 260台设备可打包或单独出售 国内
2174 前置盒/ESD8KV 旺矽科技股份有限公司 前置盒ESD8KV 2016-08-01 260台设备可打包或单独出售 国内
2173 Innobize IZ-HAS1000 LED Sorter Innobize IZ-HAS1000 2011-06-01 260台设备可打包或单独出售 国内
2172 LED PROBER Innobize IZ-AWP1000 2011-06-01 260台设备可打包或单独出售 国内
2171 LED PROBER Innobize IZ-AWP1000 2011-06-01 260台设备可打包或单独出售 国内
2170 LED PROBER Innobize IZ-AWP1000 2011-06-01 260台设备可打包或单独出售 国内
2169 LED PROBER Innobize IZ-AWP1000 2011-06-01 260台设备可打包或单独出售 国内
2168 LED PROBER Innobize IZ-AWP1000 2011-06-01 260台设备可打包或单独出售 国内
2167 LED PROBER Innobize IZ-AWP1000 2011-06-01 260台设备可打包或单独出售 国内
2166 LED PROBER Innobize IZ-AWP1000 2011-06-01 260台设备可打包或单独出售 国内
2165 LED PROBER Innobize IZ-AWP1000 2011-06-01 260台设备可打包或单独出售 国内
2164 LED PROBER Innobize IZ-AWP1000 2011-06-01 260台设备可打包或单独出售 国内
2163 全自动减薄机 苏州恩普斯科技有限公司 GV320/PC-VDM(NT-NB0007) 2011-01-08 260台设备可打包或单独出售 国内
2162 全自动减薄机 苏州恩普斯科技有限公司 GV320/PC-VDM(NT-CV0810) 2010-10-25 260台设备可打包或单独出售 国内
2161 全自动晶圆软抛机 苏州恩普斯科技有限公司 NSC-4036(SMC-4ES-12005) 2012-10-10 260台设备可打包或单独出售 国内
2160 自动目检机 IMS LVIS-V 2014-05-01 260台设备可打包或单独出售 国内
2159 自动目检机 IMS LVIS-V 2014-05-01 260台设备可打包或单独出售 国内
2158 快速退火炉 YEST.co.kr YIT-4061 2012-08-01 260台设备可打包或单独出售 国内
2157 返工清洗机 ATIS公司 MAGIC-44M(A0101114) 2009-09-01 260台设备可打包或单独出售 国内
2156 快速退火炉 YEST.co.kr YIT-4O61 2010-12-01 260台设备可打包或单独出售 国内
2155 有机自动清洗台 ATIS公司 Magic-431(A0100903(TTO)) 2009-09-01 260台设备可打包或单独出售 国内
2154 ATIS EKC422S有机清洗机 ATIS公司 EKC422S(A0120709) 2009-09-01 260台设备可打包或单独出售 国内
2153 4KV ESD模组(16/6/16) MPI Corporation L910ASESD000200 2016-08-01 260台设备可打包或单独出售 国内
2152 4KV ESD模组(16/6/16) MPI Corporation L910ASESD000200 2016-08-01 260台设备可打包或单独出售 国内
2151 4KV ESD模组(16/6/16) MPI Corporation L910ASESD000200 2016-08-01 260台设备可打包或单独出售 国内
2150 THY模组 MPI Corporation L910AST20000780 2016-08-01 260台设备可打包或单独出售 国内
2149 THY模组 MPI Corporation L910AST20000780 2016-08-01 260台设备可打包或单独出售 国内
2148 THY模组 MPI Corporation L910AST20000780 2016-08-01 260台设备可打包或单独出售 国内
2147 THY模组 MPI Corporation L910AST20000780 2016-08-01 260台设备可打包或单独出售 国内
2146 THY模组 MPI Corporation L910AST20000780 2016-08-01 260台设备可打包或单独出售 国内
2145 THY模组 MPI Corporation L910AST20000780 2016-08-01 260台设备可打包或单独出售 国内
2144 K2611B-L电流源(keithley) MPI Corporation L100EWPT2000451 2016-08-01 260台设备可打包或单独出售 国内
2143 K2611B-L电流源(keithley) MPI Corporation L100EWPT2000451 2016-08-01 260台设备可打包或单独出售 国内
2142 K2611B-L电流源(keithley) MPI Corporation L100EWPT2000451 2016-08-01 260台设备可打包或单独出售 国内
2141 K2611B-L电流源(keithley) MPI Corporation L100EWPT2000451 2016-08-01 260台设备可打包或单独出售 国内
2140 K2611B-L电流源(keithley) MPI Corporation L100EWPT2000451 2016-08-01 260台设备可打包或单独出售 国内
2139 K2611B-L电流源(keithley) MPI Corporation 100EWPT2000451 2016-08-01 260台设备可打包或单独出售 国内
2138 防震台 协伟集成电路设备-上海 2550*1950*720mm 2015-08-01 260台设备可打包或单独出售 国内
2137 防震台 协伟集成电路设备-上海 2550*1950*720mm 2015-08-01 260台设备可打包或单独出售 国内
2136 防震台 协伟集成电路设备-上海 2550*1950*720mm 2015-08-01 260台设备可打包或单独出售 国内
2135 防震台 协伟集成电路设备-上海 2550*1950*720mm 2015-08-01 260台设备可打包或单独出售 国内
2134 防震台 协伟集成电路设备-上海 2550*1950*720mm 2015-08-01 260台设备可打包或单独出售 国内
2133 防震台 协伟集成电路设备-上海 2550*1950*720mm 2015-08-01 260台设备可打包或单独出售 国内
2132 8KV ESD模组 MPI Corporation L910ASESD800010 2017-07-01 260台设备可打包或单独出售 国内
2131 8KV ESD模组 MPI Corporation L910ASESD800010 2017-07-01 260台设备可打包或单独出售 国内
2130 静电放电模拟器ESD800单晶 维明科技股份有限公司 ESD800单晶 2017-07-01 260台设备可打包或单独出售 国内
2129 8KV ESD模组 MPI Corporation L910ASESD800010 2017-06-01 260台设备可打包或单独出售 国内
2128 自动抽测机 旺矽科技股份有限公司 LEDA-8F P7202 2011-11-01 260台设备可打包或单独出售 国内
2127 8KV ESD模组 MPI Corporation 8KV ESD模组 L910ASESD800010 2017-02-01 260台设备可打包或单独出售 国内
2126 8KV ESD模组 MPI Corporation 8KV ESD模组 L910ASESD800010 2017-02-01 260台设备可打包或单独出售 国内
2125 自动抽测机 旺矽科技股份有双公司 LEDA-8F P7202 2011-11-01 260台设备可打包或单独出售 国内
2124 自动抽测机 旺矽科技股份有限公司 LEDA-8F P7202 2012-11-01 260台设备可打包或单独出售 国内
2123 自劫抽测机 旺矽科技股份有限公司 LEDA-8F P7202 2012-11-01 260台设备可打包或单独出售 国内
2122 LED制程用精密高温试验机 昆山九丰精密机械有限公 H-RUL-60,MO0318-1 2010-11-01 260台设备可打包或单独出售 国内
2121 烤箱 昆山九丰精密机械有限公 H-RHD-85,M003O9-10 2014-05-01 260台设备可打包或单独出售 国内
2120 LED制程用精密高温试验机 昆山九丰精密机械有限公 H-RVL-60(M00318-0) 2010-11-01 260台设备可打包或单独出售 国内
2119 照度计 株式会社-尼康 NIM126(8212907) 2009-08-01 260台设备可打包或单独出售 国内
2118 尾气纯化器 KOCAT INC KC-601 (KS-000523) 2014-06-01 260台设备可打包或单独出售 国内
2117 尾气纯化器 KOCAT INC KC-601 (KS-000521) 2016-11-01 260台设备可打包或单独出售 国内
2116 有机清洗机 ATIS公司 MAGIC-4M(A0101113) 2009-09-01 260台设备可打包或单独出售 国内
2115 有机清洗机 ATIS公司 MAGIC-4SM(A0101112) 2009-09-01 260台设备可打包或单独出售 国内
2114 陶瓷盘清洗机 大连中创科技有限公司 ZCCLX-MD1 2015-01-20 260台设备可打包或单独出售 国内
2113 全自动晶圆劈裂机 宏腾科技股份有限公司 2011-12-01 260台设备可打包或单独出售 国内
2112 全自动晶圆劈裂机 宏腾科技股份有限公司 2011-12-01 260台设备可打包或单独出售 国内
2111 体式显微镜 奥林巴斯株式会社 SZ51 2016-08-01 260台设备可打包或单独出售 国内
2110 体式显微镜 奥林巴斯株式会杜 SZ51 2016-08-01 260台设备可打包或单独出售 国内
2109 体式显微镜 奥林巴斯株式会杜 SZ51 2016-08-01 260台设备可打包或单独出售 国内
2108 体式显微镜 奥林巴斯株式会杜 SZ51 2016-08-01 260台设备可打包或单独出售 国内
2107 体式显微镜 奥林巴新株式会社 SZ51 2016-08-01 260台设备可打包或单独出售 国内
2106 体式显微镜 奥林巴斯株式会社 SZ51 2016-08-01 260台设备可打包或单独出售 国内
2105 体式显微镜 奥林巴斯株式会社 SZ51 2016-08-01 260台设备可打包或单独出售 国内
2104 体式显微镜 奥林巴斯株式会社 SZ51 2016-08-01 260台设备可打包或单独出售 国内
2103 全自动晶圆劈裂机 宏腾科技股份有限公司 WB-1100HE 2011-12-01 260台设备可打包或单独出售 国内
2102 体式显微镜 奥林巴斯株式会社 SZ51 2014-05-01 260台设备可打包或单独出售 国内
2101 体式显微镜 奥林巴新株式会社 SZ51 2014-05-01 260台设备可打包或单独出售 国内
2100 显微镜 奥林巴斯株式会社 SZ51M 2016-08-01 260台设备可打包或单独出售 国内
2099 显微镜 奥林巴斯株式会社 SZ51M 2016-08-01 260台设备可打包或单独出售 国内
2098 防震台 协伟集成电路设备-上海 2015-08-01 260台设备可打包或单独出售 国内
2097 尾气处理器 KOCATINC KC-601 2014-06-01 260台设备可打包或单独出售 国内
2096 尾气纯化器 KOCATINC KC-601 (KM00524) 2014-06-01 260台设备可打包或单独出售 国内
2095 尾气纯化器 KOCATINC KC-601 (KMO0522) 2014-06-01 260台设备可打包或单独出售 国内
2094 尾气处理器 KOCATINC KX01 (KS400705) 2014-06-01 260台设备可打包或单独出售 国内
2093 防震台 协伟集成电路设备-上海 2550*195*720mm 2015-08-01 260台设备可打包或单独出售 国内
2092 防震台 协伟集成电路设备-上海 2550*195*720mm 2015-08-01 260台设备可打包或单独出售 国内
2091 防震台 协伟集成电路设备-上海 2550*195*720mm 2015-08-01 260台设备可打包或单独出售 国内
2090 防震台 协伟集成电路设备-上海 2550*195*720mm 2015-08-01 260台设备可打包或单独出售 国内
2089 同轴光源显微镜 奥林巴斯株式会社 SZ51 2014-05-01 260台设备可打包或单独出售 国内
2088 手动打线机 Kulcke&Soffa 4522,00 2012-08-01 260台设备可打包或单独出售 国内
2087 同轴光源显微镜 奥林巴斯株式会社 SZ51 2014-05-01 260台设备可打包或单独出售 国内
2086 防震台 协伟集成电路设备-上海 2550*195*720mm 2015-08-01 260台设备可打包或单独出售 国内
2085 体视显微镜 奥林巴斯株式会社 SZ51-ILLC 2016-04-03 260台设备可打包或单独出售 国内
2084 防震台 协伟集成电路设备-上海 钢筋混凝土 2015-08-01 260台设备可打包或单独出售 国内
2083 防震台 协伟集成电路设备-上海 钢筋混凝土 2015-08-01 260台设备可打包或单独出售 国内
2082 喷砂机 上海施勇喷砂设备制造有 SY-1618A-2 2015-11-01 260台设备可打包或单独出售 国内
2081 vectech VECTECH498A离子分析仪 vectech VECTECH498A 2015-12-31 260台设备可打包或单独出售 国内
2080 不锈钢分散板 蚌埠市升创贸易有限公司 1380*1200mm*1Omm 2015-09-01 260台设备可打包或单独出售 国内
2079 不锈钢分散板 蚌埠市升创贸易有限公司 1380*1200mm*1Omm 2015-09-01 260台设备可打包或单独出售 国内
2078 不锈钢分散板 蚌埠市升创员易有限公司 1380*1200mm*1Omm 2015-09-01 260台设备可打包或单独出售 国内
2077 不锈钢分散板 蚌埠市升创贸易有限公司 1380*1200mm*1Omm 2015-09-01 260台设备可打包或单独出售 国内
2076 LER机台仕切板 昭和 LER机台仕切板无(依据图纸) 2015-09-01 260台设备可打包或单独出售 国内
2075 半自动贴片机2-4寸共用型 长洛国际股份有限公司 MM-T2-2-4 2014-10-01 260台设备可打包或单独出售 国内
2074 手动翻转机2-4寸共用型 长洛国际股份有限公司 TOM-T1-2-4 2010-11-01 260台设备可打包或单独出售 国内
2073 ESD模组(静电模拟器) MPI Coporation 4KV 2015-10-31 260台设备可打包或单独出售 国内
2072 ESD模组(静电模拟器) MPI Coporation 4KV 2015-10-31 260台设备可打包或单独出售 国内
2071 尾气处理器 KOCAT INC KC-601 2014-05-01 260台设备可打包或单独出售 国内
2070 ATIS JTECH-1450配件清洗机 ATIS JTECH-1450 2009-09-01 260台设备可打包或单独出售 国内
2069 ATIS Parts Clean Bench (Acid)配件清洗机 ATIS Parts Clean Bench (Acid) 1450 2009-09-01 260台设备可打包或单独出售 国内
2068 分光光度计 SCINCO.CO.,LTD. S-3100(T)S310(M)0-140411U 2014-01-01 260台设备可打包或单独出售 国内
2067 尾气处理机 KOCAT ING KSL-601 2014-05-01 260台设备可打包或单独出售 国内
2066 尾气处理机 KOCAT ING KSL-601 2014-05-01 260台设备可打包或单独出售 国内
2065 尾气处理机 KOCAT ING KSL-601 2014-05-01 260台设备可打包或单独出售 国内
2064 尾气处理机 KOCAT ING KSL-601 2014-05-01 260台设备可打包或单独出售 国内
2063 尾气处理机 KOCAT ING KSL-601 2014-05-01 260台设备可打包或单独出售 国内
2062 养化尾气处理机 真晟专特实业有限公司 JS-7801 2015-08-01 260台设备可打包或单独出售 国内
2061 三丰干分表测量装置 三丰株式会社 ID-C125MXB 2011-09-09 260台设备可打包或单独出售 国内
2060 晶粒计数器 里德科技有限公司 FSCPC-T1 2011-02-01 260台设备可打包或单独出售 国内
2059 杰特 JTE-M100全自动洗碗机 四川杰特机器有限公司 JTE-M100 2015-02-23 260台设备可打包或单独出售 国内
2058 防震台 协伟集成电路设备-上海 2550*195*720mm 2014-12-16 260台设备可打包或单独出售 国内
2057 防震台 协伟集成电路设备-上海 2550*195*720mm 2014-12-15 260台设备可打包或单独出售 国内
2056 防震台 协伟集成电路设备-上海 2550*195*720mm 2014-12-14 260台设备可打包或单独出售 国内
2055 自动抽测机 旺矽科技股份有限公司 P7202 2012-01-01 260台设备可打包或单独出售 国内
2054 自动抽测机 旺矽科技股份有限公司 P7202 2012-08-01 260台设备可打包或单独出售 国内
2053 自动抽测机 旺矽科技股份有限公司 P7202 2011-11-01 260台设备可打包或单独出售 国内
2052 自动抽测机 旺矽科技股份有限公司 P7202 2011-11-01 260台设备可打包或单独出售 国内
2051 超声铝丝压焊机 创维星有限公司 2014-05-01 260台设备可打包或单独出售 国内
2050 烤箱 昆山九丰精密机械 H-RHD-85 2014-05-01 260台设备可打包或单独出售 国内
2049 烤箱 昆山九丰精密机械 H-RHD-85 2014-05-01 260台设备可打包或单独出售 国内
2048 超声金丝球焊机 深圳伟天里半导体役各有 伟天星WT-2310 2010-09-01 260台设备可打包或单独出售 国内
2047 显微镜 奥林巴斯株式会社 OLYMPUS-SZ51M 2014-05-01 260台设备可打包或单独出售 国内
2046 显微镜 奥林巴斯株式会社 OLYMPUS-SZ51M 2014-05-01 260台设备可打包或单独出售 国内
2045 显微镜 奥林巴斯株式会社 OLYMPUS-SZ51M 2014-05-01 260台设备可打包或单独出售 国内
2044 显微镜 奥林巴斯株式会社 OLYMPUS-SZ51M 2014-05-01 260台设备可打包或单独出售 国内
2043 显微镜 奥林巴斯株式会社 OLYMPUS-SZ51M 2014-05-01 260台设备可打包或单独出售 国内
2042 显微镜 奥林巴斯株式会社 OLYMPUS-SZ51M 2014-05-01 260台设备可打包或单独出售 国内
2041 显微镜 奥林巴斯株式会社 OLYMPUS-SZ51M 2014-05-01 260台设备可打包或单独出售 国内
2040 超生热压金丝球焊机+夹具 深圳伟天里半导体役各有 CS2360(GKCS2361-1(2010651)) 2010-09-01 260台设备可打包或单独出售 国内
2039 翻转机 里德科技有限公司 FSTOM-T2(1011002) 2014-05-01 260台设备可打包或单独出售 国内
2038 翻转机 里德科技有限公司 FSTOM-T1(1011003) 2010-11-01 260台设备可打包或单独出售 国内
2037 静电放电模拟器 旺矽科技股份有限公司 ESD800(ASJ0103) 2014-05-01 260台设备可打包或单独出售 国内
2036 静电放电模拟器 旺矽科技股份有限公司 ESD800(ASJ0061) 2014-05-01 260台设备可打包或单独出售 国内
2035 全自动减簿机 苏州恩普斯科技有限公司 NSG-1100V(SSG-13V-12002) 2012-07-04 260台设备可打包或单独出售 国内
2034 半自动扩张机 里德科技有限公司 FS EPM-T2(1011004) 2010-11-01 260台设备可打包或单独出售 国内
2033 尾气处理器 KOCAT INC KS-701(KS-000680) 2014-05-01 260台设备可打包或单独出售 国内
2032 半自动点测机+测试机 MPI-维明 LEDA-8F 3G PLUS-VB(M21102147/A 2011-02-01 260台设备可打包或单独出售 国内
2031 半自动点测机+测试机 MPI-维明 LEDA-8F 3G PLUS-VB(M21012777) 2010-12-01 260台设备可打包或单独出售 国内
2030 半自动点测机+测试机 MPI-维明 LEDA-8F 3G PLUS-VB(M21102137/A 2011-02-01 260台设备可打包或单独出售 国内
2029 半自动点测机+测试机 旺矽科技股份有限公司 LEDA-3GS+T200(M21207123) 2012-07-01 260台设备可打包或单独出售 国内
2028 半自动点测机+测试机 旺矽科技股份有限公司 LEDA-8F E3G PIUS+LED617(M21207 2012-07-01 260台设备可打包或单独出售 国内
2027 半自动点测机+测试机 旺矽科技股份有限公司 LEDA-8F E3G PIUS+LEDG17(M21208 2012-08-01 260台设备可打包或单独出售 国内
2026 半自动点测机+测试机 旺矽科技股份有限公司 IEDA-8F E3G PIUS+LED617(M21208 2012-08-01 260台设备可打包或单独出售 国内
2025 半自动点测机+测试机 旺矽科技股份有限公司 LEDA-3GS+T200 (M21207120) 2012-07-01 260台设备可打包或单独出售 国内
2024 半自动点测机+测试机 旺矽科技股份有限公司 LEDA-3GS+T200 (M21207124) 2012-07-01 260台设备可打包或单独出售 国内
2023 半自动点测机+测试机 旺矽科技股份有限公司 LEDA-3GS+T200 (M21207127) 2012-07-01 260台设备可打包或单独出售 国内
2022 半自动点测机+测试机 旺矽科技股份有限公司 LEDA-3GS+T200 (M21207128) 2012-07-01 260台设备可打包或单独出售 国内
2021 半自动点测机+测试机 旺矽科技股份有限公司 LEDA-3GS+T200 (M21206814) 2012-06-01 260台设备可打包或单独出售 国内
2020 半自动点测机+测试机 旺矽科技股份有限公司 L£DA-3GS+T200 (M21206833) 2012-06-01 260台设备可打包或单独出售 国内
2019 半自动点测机+测试机 旺矽科技股份有限公司 LEDA-3GS+T200 (M212O6820) 2012-06-01 260台设备可打包或单独出售 国内
2018 半自动点测机+测试机 旺矽科技股份有限公司 LEDA-3GS+T200 (M21206831) 2012-06-01 260台设备可打包或单独出售 国内
2017 半自动点测机+测试机 旺矽科技股份有限公司 LEDA-3GA+T200 (M212O6824) 2012-06-01 260台设备可打包或单独出售 国内
2016 半自动点测机+测试机 旺矽科技股份有限公司 LEDA-3GS+T200 (M21206819) 2012-06-01 260台设备可打包或单独出售 国内
2015 半自动点测机+测试机 旺矽科技股份有限公司 LEDA-3GS+T20O (M21206822) 2012-06-01 260台设备可打包或单独出售 国内
2014 半自动点测机+测试机 旺矽科技股份育限公司 LEDA-3GS+T200 (M21206823) 2012-06-01 260台设备可打包或单独出售 国内
2013 半自动点测机+测试机 旺矽科技股份有限公司 LEDA-3GS+T200 (M212O6816) 2012-06-01 260台设备可打包或单独出售 国内
2012 半自动点测机+测试机 旺矽科技股份有限公司 LEDA-3GS+T200 (M21206821) 2012-06-01 260台设备可打包或单独出售 国内
2011 半自动点测机+测试机 旺矽科技股份有限公司 LEDA-3GS+T200 (M21206B26) 2012-06-01 260台设备可打包或单独出售 国内
2010 半自动点测机+测试机 旺矽科技冠份有限公司 LEDA-6F E3G PIUS+LED617 (M2120 2012-06-01 260台设备可打包或单独出售 国内
2009 半自动点测机+测试机 旺矽科技股份有限公司 LEDA-8F E3G PIUS+LED617 (M2120 2012-06-01 260台设备可打包或单独出售 国内
2008 半自动点测机+测试机 旺矽科技股份有限公司 LEDA-8F E3G PIUS+l£D617 (M2120 2012-06-01 260台设备可打包或单独出售 国内
2007 半自动点测机+测试机 旺矽科技股份有限公司 LEDA-8F E3G PIUS+LED617 (M2120 2012-06-01 260台设备可打包或单独出售 国内
2006 半自动点测机+测试机 旺矽科技股份有限公司 LEDA-8F E3G PIUS+LED617 (M2120 2012-06-01 260台设备可打包或单独出售 国内
2005 半自动点测机+测试机 旺砂科技股份有限公司 LEDA-8F E3G PIUS+LED617 (M2120 2012-06-01 260台设备可打包或单独出售 国内
2004 半自动点测机+测试机 旺矽科技般份有限公司 LEDA-6F E3G PIUS+LED617 (M2120 2012-06-01 260台设备可打包或单独出售 国内
2003 半自动点测机+测试机 旺矽科技股份有限公司 LEDA-3GS+T200 (M21207121) 2012-06-01 260台设备可打包或单独出售 国内
2002 半自动点测机+测试机 旺矽科技股份有限公司 LEDA-3GS+T200 (M21206815) 2012-06-01 260台设备可打包或单独出售 国内
2001 半自动点测机+测试机 旺矽科技股份有限公司 LEDA-3GS+T200 (M21206820) 2012-06-01 260台设备可打包或单独出售 国内
2000 半自动贴膜机 里德科技有限公司 FSMM-T2(1011001) 2010-11-01 260台设备可打包或单独出售 国内
1999 自动上蜡机 苏州恩普斯科技有限公司 NSB-1050 2011-09-09 260台设备可打包或单独出售 国内
1998 全自动上蜡机 苏州恩普斯科技有限公司 NSB-1050(SSB-235-12001) 2012-08-27 260台设备可打包或单独出售 国内
1997 自动裂片机 宏腾科技股份有限公司 WB-1100HE(S320110601) 2011-06-01 260台设备可打包或单独出售 国内
1996 全自动Wafer点测机 旺矽科技股份有限公司 Fully-auto(M21207566) 2012-08-01 260台设备可打包或单独出售 国内
1995 HITACHI REGULUS 8230扫描电子显微镜 HITACHI REGULUS 8230 - - 国外
1994 TEL MARK8涂胶显影机 TEL MARK8 - - 国外
1993 DISCO DFL7340全自动激光切割机 DISCO DFL7340 - - 已售出
1992 DISCO DFG840减薄机 DISCO DFG840 - - 国外
1991 TERADYNE J750测试系统 TERADYNE J750 - - 国外
1990 HITACHI S-4700扫描电子显微镜 HITACHI S-4700 - - 国外
1989 HITACHI S-4500扫描电子显微镜 HITACHI S-4500 - - 国外
1988 HITACHI S-4800扫描电子显微镜 HITACHI S-4800 - - 国外
1987 AMAT MATERIALS CENTURA AP MINOS AMAT应用材料 APPLIED MATERIALS CENTURA AP M - - 国外
1986 LAM Chambers for Altus, 12 LAM泛林 Chambers for Altus, 12 - - 国外
1985 DISCO DFD6340全自动切割机 DISCO DFD6340 2006 - 国外
1984 DISCO DFD6240划片机 DISCO DFD6240 - - 国外
1983 DISCO DAD3650划片机 DISCO DAD3650 - - 国外
1982 DISCO DFD641划片机 DISCO DFD641 - 2台一起卖 国外
1981 AMAT 8310氧化物蚀刻器 AMAT应用材料 8310 - 8" 国外
1980 NIKON NSR 2205i11D光刻机 NIKON NSR-2205i11D - - 已售出
1979 NIKON NSR 1505G4D步进式光刻机 NIKON NSR-1505G4D - 有2台 国外
1978 HITACHI S-806 HITACHI S-806 - - 国外
1977 LAM RESEARCH EOS LAM泛林 RESEARCH EOS - - 国外
1976 HITACHI SU-1510扫描电子显微镜 HITACHI SU-1510 - - 国外
1975 DISCO DFL7160激光切割机 DISCO DFL7160 - - 国外
1974 DISCO DFG850减薄机 DISCO DFG850 - - 国外
1973 DISCO EAD6340全自动切割机 DISCO EAD6340 - - 国外
1972 DISCO DAD3350晶圆切割机 DISCO DAD3350 - - 国外
1971 DISCO DAD641切割机 DISCO DAD641 - - 国内
1970 DISCO DFD651划片机 DISCO DFD651 - - 国内
1969 DISCO DAG810高配 DISCO DAG810高配 - - 已售出
1968 DISCO DFG841晶圆减薄机 DISCO DFG841 - - 国内
1967 DISCO DAD3350晶圆切割机 DISCO DAD3350 - - 国内
1966 DISCO DFD6340裸 DISCO DFD6340裸 - - 国内
1965 DISCO DFD6361切割机 DISCO DFD6361 2013 - 国内
1964 DISCO DFD6362划片机 DISCO DFD6362 2021 - 国内
1963 AMAT P5000刻蚀机 AMAT应用材料 P5000 - - 国内
1962 AUTOMOTION LC4BP13002 AUTOMOTION LC4BP13002 - - 国内
1961 BUEHLER ECOMETS3研磨封装 BUEHLER ECOMETS3 - - 国内
1960 Burker D8 XRD薄膜测量 Burker D8 XRD - - 国内
1959 EBX-1000 EBX EBX-1000 - - 国内
1958 EVG 6200掩模对准系统 EVG 6200 - - 国内
1957 EVG 620 BA光刻直写 EVG 620 BA - - 国内
1956 EVG 620 光刻直写 EVG 620 - - 国内
1955 HITACHI S-5200扫描电子显微镜 HITACHI S-5200 - - 国内
1954 INNOTEC LLE-2500薄膜沉积 INNOTEC LLE-2500 - - 国内
1953 KEYENCE KS-1100薄膜测量 KEYENCE KS-1100 - - 国内
1952 KLA CANDELA CS2薄膜测量 KLA科磊 CANDELA CS2 - - 国内
1951 Leybold UL100 Plus Leybold UL100 - - 国内
1950 LOOMIS LSD100研磨封装 LOOMIS LSD100 - - 国内
1949 MARCH CS-1701 MARCH CS-1701 - - 国内
1948 MOCVD薄膜沉积 - - - - 国内
1947 OKK OKV-61NR清洗甩干 OKK OKV-61NR - - 国内
1946 Optical Associates Inc.OAI涂胶显影 Optical - - - 国内
1945 optiphot 88 optiphot 88 - - 国内
1944 OXFORD 100 PECVD OXFORD牛津 100 PECVD - - 国内
1943 P.System WPS3100芯片测试 - WPS3100 - - 国内
1942 RIGAKU 3620薄膜测量 RIGAKU 3620 - - 国内
1941 RTP-600S - - - - 国内
1940 RUDOLPH 2,4C薄膜测量 - - - - 国内
1939 SAMCO PD-3800 SAMCO PD-3800 - - 国内
1938 SAMCO PD-3800L薄膜沉积 SAMCO PD-3800L - - 国内
1937 SAMCO PD-4800 SAMCO PD-4800 - - 国内
1936 SAMCO RIE-200IPC刻蚀去胶 SAMCO RIE-200IPC - - 国内
1935 SAMCO RIE-200IP刻蚀去胶 SAMCO RIE-200IP - - 国内
1934 SAMCO RIE-212IPC刻蚀去胶 SAMCO RIE-212IPC - - 国内
1933 SAMCO RIE-232IPC刻蚀去胶 SAMCO RIE-232IPC - - 国内
1932 SPTS HRM ICP刻蚀去胶 SPTS HRM ICP - - 国内
1931 STS PECVD薄膜沉积 STS PECVD - - 国内
1930 KARL SUSS FC150研磨封装 KARL SUSS FC150 - - 国内
1929 KARL SUSS MA150光刻直写 KARL SUSS MA150 - - 国内
1928 KARL SUSS SB6长晶键合 KARL SUSS SB6 - - 国内
1927 SV90S 涂胶显影机 - SV90S - - 国内
1926 TECADIA TEC-3005KD研磨封装 TECADIA TEC-3005KD - - 国内
1925 Tektronix 370A芯片测试 Tektronix 370A - - 国内
1924 TEL MK-2涂胶显影 TEL MK-2 - - 国内
1923 TEL MARK-V涂胶显影机 TEL MARK-V - - 国内
1922 Tencor Omnimap RS35C薄膜测量 Tencor Omnimap RS35C - - 国内
1921 THERMCO 5200薄膜沉积 THERMCO 5200 - - 国内
1920 TEL SEIMITSU A-PM-90A芯片测试 TEL A-PM-90A - - 国内
1919 TOWA SL473D2 TOWA SL473D2 - - 国内
1918 ACCRETECH TSK UF300A探针台 ACCRETECH东京精密 UF300A - - 国内
1917 ULVAC CC-200C薄膜沉积 ULVAC爱发科 CC-200C - - 国内
1916 ULVAC NA8000刻蚀去胶 ULVAC爱发科 NA8000 - - 国内
1915 ULVAC NE950刻蚀去胶 ULVAC爱发科 NE950 - - 国内
1914 ULVAC NE5000N刻蚀去胶 ULVAC爱发科 NE5000N - - 国内
1913 ULVAC SME 200溅射台 ULVAC爱发科 SME 200 - - 国内
1912 Varian 947台式检漏仪 Varian 947 - - 国内
1911 West Bond 7200AR研磨封装 West Bond 7200AR - - 国内
1910 West Bond 7400A研磨封装 West Bond 7400A - - 国内
1909 YES-5 OVEN快退加热 - - - - 国内
1908 KARL SUSS MA6光刻机 KARL SUSS MA6 - - 国内
1907 CANON MPA-500FA光刻机 CANON MPA-500FA 1982 5" 国内
1906 CANON MPA-500FA光刻机 CANON MPA-500FA 1995 5" 国内
1905 CANON MPA-500FAB光刻机 CANON MPA-500FAB 1995 5" 国内
1904 CANON MPA-500FAB光刻机 CANON MPA-500FAB 1996 5" 国内
1903 CANON MPA-500FA光刻机 CANON MPA-500FA 1983 5" 国内
1902 CANON PLA-501FA投影式光刻机 CANON PLA-501FA 1983 5" 国内
1901 涂胶显影机 SVG MSX1000 - 2" 国内
1900 刷片机 DNS SC-W80A-AVFG 1996.12 8" 国内
1899 涂胶显影机 DNS SCW-636-BV 1988 6" 国内
1898 TEL MARK-II显影机 TEL MARK-II - 6" 国内
1897 TEL MARK-II显影机 TEL MARK-II - 6" 国内
1896 TEL IW-6D扩散炉 TEL IW-6D 1996 5" 国内
1895 TEL IW-6D扩散炉 TEL IW-6D 1997 5" 国内
1894 TEL UL-2604-08-HS扩散炉 TEL UL-2604-08-HS - 6" 国内
1893 TEL UL-2604-08-HS扩散炉 TEL UL-2604-08-HS - 6" 国内
1892 TEL UL-2604-08-HS扩散炉 TEL UL-2604-08-HS - 6" 国内
1891 TEL UL-2604-08L扩散炉 TEL UL-2604-08L 1990 6" 国内
1890 NITTO DENKO USD-6·D-11·2-2扩散炉 NITTO DENKO USD-6·D-11·2-2 - 6" 国内
1889 NITTO DENKO USD-6·D-12·2-1扩散炉 NITTO DENKO USD-6·D-12·2-1 - 6" 国内
1888 NITTO DENKO USD-6·D-5·3-2扩散炉 NITTO DENKO USD-6·D-5·3-2 - 6" 国内
1887 NITTO DENKO USD-6·D-7·3-2扩散炉 NITTO DENKO USD-6·D-7·3-2 - 6" 国内
1886 NITTO DENKO USD-6·D-8·3-7扩散炉 NITTO DENKO USD-6·D-8·3-7 - 6" 国内
1885 NITTO DENKO USD-6·D-8·3-8扩散炉 NITTO DENKO USD-6·D-8·3-8 - 6" 国内
1884 Toshiba Machine EGV-28GX外延炉 Toshiba Machine EGV-28GX 1998 6" 国内
1883 干法刻蚀机 Shibaura CDE-7-3A - 6" 国内
1882 HITACHI M-308AT干法刻蚀机 HITACHI M-308AT - 6" 国内
1881 Alcan Tech MAS-801干法刻蚀机 Alcan Tech MAS-801 - 6" 国内
1880 Alcan Tech MAS-801干法刻蚀机 Alcan Tech MAS-801 - 6" 国内
1879 Alcan Tech MAS-801干法刻蚀机 Alcan Tech MAS-801 - 6" 国内
1878 Alcan Tech MAS-801干法刻蚀机 Alcan Tech MAS-801 - 6" 国内
1877 TEL TE480HGC干法刻蚀机 TEL TE480HGC - 6" 国内
1876 TEL TE480HGC干法刻蚀机 TEL TE480HGC - 6" 国内
1875 TEL VDF610S扩散炉 TEL VDF610S - 6" 国内
1874 NISSIN NH-20SR离子注入机 NISSIN日新 NH-20SR - 6" 国内

页次: 4 / 8页 每页:500 产品数:3873   9[1][2][3][4][5][6][7][8]: 总共有8页

龙玺精密-二手半导体设备买卖+翻新+非标定制 龙先生18868521984(微)

注:设备状态不定期更新,是否已售出请咨询。