龙玺精密-为您提供二手半导体设备买卖+翻新服务,可按客户要求非标定制.18868521984龙先生
2024-4-28二手设备列表
关键字  
首页 CANON NIKON DISCO ASML AMAT TEL LAM KLA SEMICS HITACHI YOKOGAWA
ID 设备名称 制造商 型号 年份 详细配置 状 态
1873 NISSIN NH-20SR离子注入机 NISSIN日新 NH-20SR - 6" 国内
1872 打标机 NEC Laser Automation SL-473F 1997 5" 国内
1871 烘箱 DAN SCIENCE DI-200H-AR - 6" 国内
1870 TEL MAC-92CV掩膜版测试仪 TEL MAC-92CV - 6" 国内
1869 电阻率测试仪 NAPSON RG-8 - 6" 国内
1868 旋转涂膜机 Dainippon Screen MFC SCW-622-BV - 6" 国内
1867 NIKON NSR 1505G6E光刻机 NIKON NSR-1505G6E 1998 6" 国内
1866 NIKON NSR 1505G6E光刻机 NIKON NSR-1505G6E 1998 6" 国内
1865 NITTO SEIKI Detaper去膜机 NITTO SEIKI Detaper - 6" 国内
1864 Nanometrics M-215膜厚测量仪 Nanometrics M-215 1989.05 6" 国内
1863 Nanometrics M-215膜厚测量仪 Nanometrics M-215 1989.05 6" 国内
1862 USHIO UMA-802-H55RM紫外线固胶机 USHIO UMA-802-H55RM - 6" 国内
1861 USHIO UMA-802-H55RM紫外线固胶机 USHIO UMA-802-H55RM - 6" 国内
1860 USHIO UMA-802-HC55RM紫外线固胶机 USHIO UMA-802-HC551RM - 6" 国内
1859 USHIO UMA-802-HC55RM紫外线固胶机 USHIO UMA-802-HC55RM - 6" 国内
1858 检版机 VPRA-6 - 6" 国内
1857 NIKON NSR SF120光刻机 NIKON NSR-SF120 - 12" 缺件 国内
1856 NIKON NSR SF120光刻机 NIKON NSR-SF120 - 12" 缺件 国内
1855 NIKON NSR SF130光刻机 NIKON NSR-SF130 - 12" 缺件 国内
1854 NIKON NSR 4425i光刻机 NIKON NSR-4425i 1995.1 8" 缺件 国内
1853 NIKON NSR 1755i7A光刻机 NIKON NSR-1755i7A 1990.9 6" 缺件 国内
1852 NIKON NSR 2005i8A光刻机 NIKON NSR-2005i8A - 6" 国内
1851 TEL Lithius涂胶显影机 TEL Lithius 2005.3 8" 国内
1850 Toho technology H840A甩干机 Toho technology H840A - 6" 国内
1849 Toho technology H841A甩干机 Toho technology H841A - 6" 国内
1848 Arbrown SPD-160RN甩干机 Arbrown SPD-160RN - 6" 国内
1847 Arbrown H1220RNN甩干机 Arbrown H1220RNN - 6" 国内
1846 甩干机 SCREEN R1W-811 - 6" 国内
1845 Varian 120XP大束流离子注入机 Varian 120XP 1989.9 6"大束流 国内
1844 NIKON NSR 2005i8A光刻机 NIKON NSR-2005i8A - 6" 备件机 国内
1843 NIKON NSR 1505G7E光刻机 NIKON NSR-1505G7E - 6"备件机 国内
1842 NIKON NSR 1505G6E光刻机 NIKON NSR-1505G6E - 6"备件机 国内
1841 KOKUSAI DD-803V扩散炉 KOKUSAI DD-803V 1991.7 6" 国内
1840 TECHNOS TREX610T缺陷测试仪 TECHNOS TREX610T - 6" 国内
1839 TEL MARK8涂胶显影机 TEL MARK8 1997.3 8" 国内
1838 涂胶机 SVG SVG8800 - 6" 国内
1837 涂胶机 SVG SVG8800 - 6" 国内
1836 显影机 SVG SVG8800 - 6" 国内
1835 显影机 SVG SVG8800 - 6" 国内
1834 烘箱 Yes-1 - 6" 国内
1833 旋涂机(3个工位) MIKASA 3H-D3 1991 - 国外
1832 DAILITE S-488旋涂机 DAILITE S-488 2002 - 国外
1831 半自动浸涂布机 SDI COMPANY SA-0903 2009 设备名称:半自动浸涂机
型号
国外
1830 旋涂机 S&D SDC-600 2008 - 国外
1829 旋转涂布机 MIKASA MS-A150 - - 国外
1828 蚀刻装置 TOK TCE-3822 2011 设备名称:蚀刻装置
型号:T
国外
1827 Panasonic E620I金属干法蚀刻机 Panasonic E620I 2013 - 国外
1826 CANON L-310R-E真空蚀刻设备(ECR) CANON L-310R-E 1999 设备名称:真空蚀刻设备(ECR 国外
1825 SAMCO RIE-200NL等离子蚀刻系统 SAMCO RIE-200NL 2008 - 已售出
1824 CANON L-201D-L真空蚀刻设备 CANON L-201D-L 1998 - 国外
1823 HITACHI DD-812V立式扩散炉 HITACHI DD-812V 2007 - 国外
1822 SAMCO PD-200STP CVD设备氧化硅膜 SAMCO PD-200STP 2010 设备名称:液体原料CVD设备
国外
1821 SAMCO PD-10M等离子CVD设备(DLC薄膜) SAMCO PD-10M特 - - 国外
1820 溅镀装置(DVD) 芝浦机电一体化 S-200U 2010 设备名称:溅镀装置(DVD)
国外
1819 CANON SPF-730 溅射设备 CANON SPF-730 - 设备名称:溅射设备批量类型
国外
1818 CANON C-7960FL溅射装置(CtoC)单晶片型 CANON C-7960FL 1998 - 国外
1817 CANON L-501S-FHL溅射设备(CtoC) CANON L-501S-FHL 2004 设备名称:溅射设备(CtoC) 国外
1816 溅射装置(DVD) SHIBAURA COAT-7000 1999 - 国外
1815 CANON L-332S-FH溅射设备 CANON L-332S-FH 1998 - 国外
1814 ULVAC SV-200批量式溅射设备 ULVAC爱发科 SV-200 2000 PVD 国外
1813 溅射设备 JVC F0692 - - 国外
1812 ULVAC SME-200E溅射设备 ULVAC爱发科 SME-200E 2006 - 国外
1811 CANON C-3103溅射设备 CANON C-3103 1996 设备名称:溅射设备
型号:C
国外
1810 SHIBAURA COAT-7000溅镀装置 SHIBAURA COAT-7000 1999 DVD 国外
1809 CANON 特型L-400EK-L薄膜沉积设备 CANON 特型L-400EK-L 2002 设备名称:薄膜沉积设备
型号
国外
1808 校对装置 AYUMI AL-60M 2005 - 国外
1807 晶圆・粉尘检测设备 TOPCON WM-3 - 设备名称:晶圆粉尘检查设备
国外
1806 CANON PLA-600F光罩校准器 CANON PLA-600F - - 国外
1805 CANON PLA-600光罩校准器 CANON PLA-600 - - 国外
1804 SHIMADZU ALLS-100X-33CⅡ液晶注入装置 SHIMADZU島津 ALIS-100X-33CⅡ 2005 设备名称:液晶注⼊ 国外
1803 Techno Rise TUVC150SO紫外线臭氧清洁设备 Techno Rise TUVC150SO 2004 - 国外
1802 光罩旋转清洁机 KMX MSC-150S-MS 1999 - 国外
1801 USHIO UIS-25103AA紫外线照射装置 USHIO UIS-25103AA 2012 设备名称:紫外线照射装置
国外
1800 紫外线照射装置 ORC ORE4002A 2005 设备名称:紫外线照射装置
国外
1799 旋转干燥机 AR BROWN SPD-160RN 1995 - 国外
1798 旋转漂洗干燥机 SEMITOOL SRD-470S - 1、电源电压:1相100V 1 国外
1797 FPP用高压喷射水流装置 RIX JS-100-DD 2005 - 国外
1796 ULTRONICS UH108晶圆保护膜粘贴装置(覆膜机) ULTRONICS UH108 - 设备名称:晶圆保护膜粘贴装置
国外
1795 ULTRONICS UH110晶圆保护膜剥离器(除膜机) ULTRONICS UH110 - 设备名称:晶圆胶带剥离器
国外
1794 TAKATORI TRA-P晶圆转移装置转移机 TAKATORI TRA-P 2004 设备名称:晶圆转移装置转移机
国外
1793 JEL转移机器人 JEL SHR3130S-200-PM-0092 - 设备名称:转移机器Ҵ 国外
1792 转移机器人 Brooks Automation MultiTran? 5/VacuTran? 5 - - 国外
1791 晶圆转移机 IMES WTMC01 2008 - 国外
1790 Daitron DDMT-200-E晶圆剥离装置 Daitron DDMT-200-E 2011 - 国外
1789 ULVAC PSS85/85红外灯加热装置可变气氛灯 ULVAC爱发科 PSS85/85 2008.6 设备名称:红外灯加热装置可变& 国外
1788 YDK triton-EFEM晶圆传送设备 YDK triton-EFEM - - 国外
1787 隔膜式真空层压机 NISHIKAWA西川制作所 EG-0901 2009 设备名称:均热散热板粘贴装置
国外
1786 曝光装置 Oak橡树制造 EXF-2005-B-00 2006 设备名称:光刻装置
型号:E
国外
1785 DISCO DWR1721纯水循环装置 DISCO DWR1721 - - 国外
1784 DISCO DWR1722纯水循环设备 DISCO DWR1722 2016 - 国外
1783 DISCO EAD6340全自动切割机 DISCO EAD6340 - - 国外
1782 DISCO DFL7340全自动激光切割机 DISCO DFL7340 - - 国外
1781 HITACHI IMR-3-1离子铣削装置 HITACHI IMR-3-1 1992 设备名称:离⼦铣 国外
1780 NISHIKAWA EG-0901隔膜式真空层压机 NISHIKAWA EG-0901 2009 设备名称:均热散热板粘贴装置
国外
1779 倒装芯片键合机 Panasonic NM-SB50A 2017 - 国外
1778 Nordson XD7600NT X射线检测系统 Nordson XD7600NT 2011 - 国外
1777 DSI HCT2B28HEX-3退火炉 DSI HCT2B28HEX-3 2007 - 国外
1776 Technovision FM-903S晶圆贴片机 Technovision FM-903S - - 国外
1775 HITACHI WF-R55UV-H5微小线幅测定装置 HITACHI WF-R55UV-H5 2007 设备名称:微线宽测量装置
国外
1774 接合装置 阳级真空接合装置 Ayumi Industry BH-50 2009 设备名称:接合装置阳极真空接合 国外
1773 ULVAC RTA-4050红外灯加热炉 ULVAC爱发科 RTA-4050 2002 设备名称:红外线灯加热装置 R 国外
1772 Futek Furnace VF-KH150 6寸基板加热炉 Futek Furnace VF-KH150 - 设备名称:加热炉6英x 国外
1771 OLED发布寿命测试 EHC ELS-100S - - 国外
1770 USHIO CE-6000CH紫外线固化曝光设备 USHIO CE-6000CH 2005 设备名称:6⼨晶 国外
1769 Electronic Plaza 2220⼤⽓压等离 Electronic Plaza 2220 2010 设备名称:⼤&# 国外
1768 晶圆阻抗形状测试机 KOBELCO RPW-1000M 2004 - 国外
1767 BECKMAN OPTIMA XE-90落地式超速离心机 BECKMAN/COULTER OPTIMA XE-90 2014 - 国外
1766 CV测试仪 Four Dimension CVmap 92A 2014 设备名称:CV测试仪
型号:
国外
1765 自动曝光对位机 MIKASA MA-20 1997 设备名称:对准曝光机
商品编
国外
1764 AMADA MS-TR4871激光修整装置 AMADA MIYACHI MS-TR4871 2012 设备名称:(HIC)修复装置
国外
1763 Technovision TW-300光掩膜清洗装置 Technovision TW-300 1997 设备名称:光罩清洗装置
型号
国外
1762 ULVAC PSS85/85可变氛围灯加热装置 ULVAC爱发科 PSS85/85 2008 设备名称:红外灯加热装置可变& 国外
1761 ACCRETECH A-WD-5001A划片机 ACCRETECH东京精密 A-WD-5001A 1999 - 国外
1760 阳极真空键合设备 AYUMI AB-40A-S 2007 - 国外
1759 CLIMB PRODU SE330H覆膜机 CLIMB PRODU SE330H 2009 设备名称:覆膜装置
型号:S
国外
1758 ULVAC VHC-E416红外灯加热装置 ULVAC爱发科 VHC-E416 - 设备名称:红外灯加热装置 国外
1757 HITACHI IMR-3-1离子铣削装置 HITACHI IMR-3-1 1992 设备名称:离⼦铣 国外
1756 ADVANCED LITMAS RPS远程等离子体源 ADVANCED ENERGYAE LITMAS RPS 2020 设备名称:远程等离ҷ 国外
1755 台式抛光机打磨机 Eyelash curler 49-5100-115 - 设备名称:台式抛光机打磨机
国外
1754 TF切断装置 Apic Yamada CS-813-1 1997 设备名称:TF切断装置
型号
国外
1753 圆度测量仪 Taylor Hobson TALYROND 265 2000 设备名称:圆度测量仪
型号:
国外
1752 偏光板粘贴装置 ⽯⼭制作所 FS0823 1997 - 国外
1751 抗蚀剂剥离清洗装置 access MSR-360U - - 国外
1750 SEN HCT2B28HEX-3紫外线照射固化装置 SEN HCT2B28HEX-3 - - 国外
1749 ⼩型台式等离⼦清洁器PiPi 大和材料 PiPi 2016 规格参数:
1、等离
国外
1748 CV测量装置 Four Dimension CV MAP 92A 2014 设备名称:CV测量装置
型号
国外
1747 热油实试验机/通孔可靠性测试仪 SHIMAKAWA PH-500D 2004 设备名称:热油实试验机/通孔可 国外
1746 Nanometrics M6100膜厚测定装置 Nanometrics M6100 - 设备名称:膜厚测定装置
型号
国外
1745 纳米计量学 7200-2134膜厚测定装置 纳米计量学 7200-2134 - 设备名称:膜厚测定装置
型号
国外
1744 Nanometrics M6100UV-L6膜厚测定装置 Nanometrics M6100UV-L6 - 设备名称:膜厚测定装置
型号
国外
1743 Nanometrics M6100UV-L6膜厚测定装置 Nanometrics M6100UV-L6 - 设备名称:膜厚测定装置
型号
国外
1742 Logitech 1PM52抛光机 Logitech 1PM52 - 设备名称:抛光机
型号:1P
国外
1741 断线装置断线机 小坂研究所 JKB-505M - 设备名称:断线装置断线机
国外
1740 Futec Furness VF-KH150加热炉6英寸基板加热炉 Futec Furness VF-KH150 - 设备名称:加热炉6英x 国外
1739 Adtech AXR-1000射频电源 Adtech AXR-1000 2008 设备名称:射频电源
型号:A
国外
1738 追踪检查装置 OHT TTS-02 2004 设备名称:追踪检查装置
型号
国外
1737 回流炉-远红外台式回流焊装置 日本脉冲技术研究所 RF-330 2008 设备名称:回流炉
型号:RF
国外
1736 钠灯 传输用复合照明装置 同润光机 KLTFNL-F404N18 2008 设备名称:钠灯传输ҽ 国外
1735 大和材料 PiPi等离子处理设备 大和材料 PiPi 2016 设备名称:等离⼦ 国外
1734 MKS ENI RPG-50A直流电源脉冲直流等离子发生器 MKS ENI RPG-50A 2008 设备名称:直流电源脉冲直流等离 国外
1733 长野科学CH43-W14P恒温槽 长野科学 CH43-W14P 2008 设备名称:恒温槽
型号:CH
国外
1732 玻璃破碎机 Joyo/Engineering JKB-500M-A-1 2004 设备名称:玻璃破碎机
型号:
国外
1731 Electronic Plaza 2220高压等离子体装置 Electronic Plaza 2220 2010 设备名称:⼤&# 国外
1730 ACF粘贴机 SIC NAL02-10 2005 设备名称:ACF粘贴机
型号
国外
1729 FUSION UV DRW-116Q-G紫外线照射装置 FUSION UV/SYSTEM DRW-116Q-G 2013 - 国外
1728 SII SFT9200 X射线荧光膜测厚仪 SII精工仪器 SFT9200 2003 设备名称:X射线荧光膜测厚仪
国外
1727 Nagase Integrex NSF-600平面磨床 Nagase Integrex NSF-600 2017 设备名称:平⾯磨 国外
1726 本⽥电⼦ W-100-HFMKⅡ超声波清洗机 本⽥电⼦ W-100-HFMKⅡ 2001 设备名称:超声波清洗机
型号
国外
1725 测⼒计载荷测量推拉⼒计 爱科工程 MODEL-1605ⅡV 2007 设备名称:测⼒计 国外
1724 RF电源 珍珠工业 RP-1000-13M 1999 设备名称:RF电源
型号:R
国外
1723 红外分光光度计 日本光谱 FT/IR-4100 IRT-5000 - 设备名称:红外分光光度计
国外
1722 AOI检查设备板外观检查设备 INSPEC SX5300 2017 设备名称:AOI 检查设备板外 国外
1721 低温泵 HELIX CTI螺旋 ON-BOARD 8F - 设备名称:低温泵
型号:ON
国外
1720 STEC V-40高精度精密薄膜流量计 STEC V-40 - 设备名称:薄膜流量计(测量单元 国外
1719 射频电源套装产品 京三 RFK75Z - 设备名称:射频电源套装产品
国外
1718 光源紫外线照射装置冷光 莫⾥泰克斯 MUV-250U-L - 设备名称:光源紫外线照射装置冷 国外
1717 ACCRETECH UF200探针台 ACCRETECH东京精密 UF200 2000 TEST 国外
1716 ACCRETECH UF200探针台 ACCRETECH东京精密 UF200 - TEST 国外
1715 ACCRETECH UF200探针台 ACCRETECH东京精密 UF200 1998 TEST 国外
1714 ACCRETECH UF200A探针台 ACCRETECH东京精密 UF200A 2003 TEST 国外
1713 ACCRETECH UF200SA探针台 ACCRETECH东京精密 UF200SA 2005 TEST 国外
1712 ACCRETECH UF200SA探针台 ACCRETECH东京精密 UF200SA 2005 TEST 国外
1711 ACCRETECH UF200SA探针台 ACCRETECH东京精密 UF200SA 2004 TEST 国外
1710 ACCRETECH UF3000探针台 ACCRETECH东京精密 UF3000 2006 TEST 国外
1709 ACCRETECH UF3000EX探针台 ACCRETECH东京精密 UF3000EX 2014 TEST 国外
1708 ADVANTEST 93000 C200e ADVANTEST (Verigy) 93000 C200e 2007 TEST 国外
1707 Agilent 4072A Agilent 4072A 2004 TEST 国外
1706 Agilent 4073A Agilent 4073A 2002 TEST 国外
1705 Agilent 4142B Agilent 4142B 2000 TEST 国外
1704 AMAT AKT-3500 AMAT应用材料 AKT-3500 2018 CVD 国外
1703 AMAT Centura DPS AMAT应用材料 Centura DPS 1998 ETCH 国外
1702 AMAT Centura DXZ AMAT应用材料 Centura DXZ 1999 CVD 国外
1701 AMAT Centura MXP AMAT应用材料 Centura MXP 1997 ETCH 国外
1700 AMAT Centura XE AMAT应用材料 Centura XE 2003 RTP 国外
1699 AMAT Centura XE+ AMAT应用材料 Centura XE+ 2003 RTP 国外
1698 AMAT P-5000刻蚀机 AMAT应用材料 P-5000 1990 CVD 国外
1697 ASM Eagle10 PLASMA CVD ASM Eagle10 1998 CVD 国外
1696 ASM Eagle10 PLASMA CVD ASM Eagle10 2007 CVD 国外
1695 AP-CVD Aviza WJ-1000H 1996 CVD 国外
1694 CANON HP-8800 CANON HP-8800 2001 RTP 国外
1693 CANON MAS-8200 CANON MAS-8200 - ETCH 国外
1692 CANON MAS-8220 CANON MAS-8220 - ETCH 国外
1691 CANON MPA-600FA CANON MPA-600FA 1988 PHOTOLITHO 国外
1690 CANON I-1060SV2 Plus1 CANON I-1060SV2 Plus1 1999 PVD 国外
1689 CANON I-1080 PVD CANON I-1080PVD 2001 PVD 国外
1688 CANON ILC-1080 CANON ILC-1080 2003 PVD 国外
1687 CANON M-222LD-D CANON M-222LD-D 2011 OTHERS 国外
1686 DISCO DAD3350晶圆切割机 DISCO DAD3350 - DICING 国外
1685 DISCO DCS141清洗机 DISCO DCS141 - DICING 国外
1684 DISCO DFD-2S/8 DISCO DFD-2S/8 - DICING 国外
1683 DISCO DFD6240划片机 DISCO DFD6240 2004 DICING 国外
1682 DISCO DFD6340全自动切割机 DISCO DFD6340 2008 DICING 国外
1681 GAS SCRUBBER EBARA GDC250SA 2012 OTHERS 国外
1680 PLATING EBARA UFP100(150A) - PLATING 国外
1679 ESI 9350 ESI 9350 2004 TEST 国外
1678 FILM STRESS MEASURMENT FSM FSM-128 2001 METROLOGY 国外
1677 FILM STRESS MEASURMENT FSM FSM-128 - METROLOGY 国外
1676 GSI MARK-EM Wafer Marker GSI MARK-EM 2002 MARKING 国外
1675 HITACHI IML-6-1 HITACHI IML-6-1 1997 ETCH 国外
1674 HITACHI TS-3700扫描电子显微镜 HITACHI TS-3700 1990 OTHERS 国外
1673 HITACHI TS-6500 HITACHI TS-6500 2000 OTHERS 国外
1672 HITACHI FIB-2100聚焦离子束 HITACHI FB-2100 2002 METROLOGY 国外
1671 HITACHI IM4000离子研磨仪 HITACHI IM4000 2012 ETCH 国外
1670 HITACHI NE4000扫描电子显微镜 HITACHI NE4000 2011 METROLOGY 国外
1669 HITACHI S-3400N扫描电子显微镜 HITACHI S-3400N 2010 METROLOGY 国外
1668 HITACHI S-3700N扫描电子显微镜 HITACHI S-3700N 2012 METROLOGY 国外
1667 HITACHI S-4500扫描电子显微镜 HITACHI S-4500 1996 METROLOGY 国外
1666 HITACHI S-4800扫描电子显微镜 HITACHI S-4800 2004 METROLOGY 国外
1665 HITACHI TM3000扫描电子显微镜 HITACHI TM3000 2012 METROLOGY 国外
1664 KEYENCE ML-Z9500/9510 KEYENCE ML-Z9500/9510 2008 MARKING 国外
1663 KLA AITⅡ缺陷检测仪 KLA科磊 AITⅡ 1999 METROLOGY 国外
1662 KLA ARCHER200叠对测量系统 KLA科磊 ARCHER200 2009 METROLOGY 国外
1661 KLA EDR-5210S晶圆缺陷检查系统 KLA科磊 EDR-5210S 2011 METROLOGY 国外
1660 KLA Tencor UV-1280SE薄膜测量系统 KLA科磊 UV1280SE 2003 METROLOGY 国外
1659 LIFETIME MEASURING KOBELCO LTA-500 1996 METROLOGY 国外
1658 LIFETIME MEASURING KOBELCO LTA-550 1991 METROLOGY 国外
1657 WIRE BONDER Kulicke & Soffa Maxum ultra 2008 BONDING 国外
1656 WIRE BONDER Kulicke & Soffa Maxum ultra 2008 BONDING 国外
1655 LAM ONE-W PLASMA CVD_W LAM泛林 ONE-W 1995 CVD 国外
1654 LAM TWO PLASMA CVD LAM泛林 TWO 2000 CVD 国外
1653 LAM TWO Speed PLASMA CVD LAM泛林 TWO Speed 2000 CVD 国外
1652 LAM RST201刻蚀机 LAM泛林 RST201 1996 WET 国外
1651 LAM RST201刻蚀机 LAM泛林 RST201 1997 WET 国外
1650 LAM SEZ203晶圆旋转刻蚀机 LAM泛林 SEZ203 2005 WET 国外
1649 LINTEC RAD-2000M LINTEC RAD-2000M 2005 6" DICING 国外
1648 LINTEC RAD-2000M LINTEC RAD-2000M 2006 8" DICING 国外
1647 LINTEC RAD-2500M LINTEC RAD-2500M 2007 8" MOUNTING 国外
1646 Nanometrics M6100膜厚测定装置 Nanometrics M6100 1998 METROLOGY 国外
1645 Nanometrics NanoSpec9200 Nanometrics NanoSpec9200 2001 METROLOGY 国外
1644 Nanometrics NanoSpec9310 Nanometrics NanoSpec9310 2008 METROLOGY 国外
1643 NIKON NSR 2005i10C光刻机 NIKON NSR-2005i10C 1994 PHOTOLITHO 国外
1642 NIKON NSR 2205EX14C光刻机 NIKON NSR-2205EX14C 1998 PHOTOLITHO 国外
1641 NIKON NSR 2205EX14C光刻机 NIKON NSR-2205EX14C 1999 PHOTOLITHO 国外
1640 NIKON NSR 4425i光刻机 NIKON NSR-4425i 1997 PHOTOLITHO 国外
1639 NuFlare Technology HT2000B NuFlare Technology HT2000B 2007 CVD 国外
1638 CMP Okamoto SPP-600S GRIND 1998 CMP 国外
1637 SUPERCRITICAL RINSER&DRYER Rexxam SCRD6 2008 WET 国外
1636 FILM THICKNESS MEASUREMENT Rudolph S200ETCH 2000 METROLOGY 国外
1635 SCREEN AS2000 SCREEN AS2000 1998 WET 国外
1634 SCREEN AS2000 SCREEN AS2000 1998 WET 国外
1633 SCREEN AS2000 SCREEN AS2000 2000 WET 国外
1632 RTA SCREEN LA-830 - RTP 国外
1631 SCREEN SK-80BW-AVQ SCREEN SK-80BW-AVQ 1998 PHOTOLITHO 国外
1630 WAFER SCRUBBER SCREEN SS-W80A-AR 1995 WET 国外
1629 WAFER SCRUBBER SCREEN SS-W80A-AR 2000 WET 国外
1628 WAFER SCRUBBER SCREEN SS-W80A-AVR 1998 WET 国外
1627 WAFER SCRUBBER SCREEN SS-W80A-AVR 2002 WET 国外
1626 FILM THICKNESS MEASUREMENT SOPRA GESP5 2010 METROLOGY 国外
1625 MO CVD TAIYO NIPPON SANSO SR64212HKS 2010 CVD 国外
1624 AUTOMATIC VISUAL INSPECTION TAKANO (TOPCON) Vi-4202 2004 METROLOGY 国外
1623 TAKANO WM-5000 TAKANO(TOPCON) WM-5000 2005 METROLOGY 国外
1622 TAKATORI AMR-2200G TAKATORI AMR-2200G - BACK GRIND 国外
1621 TEL ACT8(2C2D) TEL ACT8(2C2D) 1998 PHOTOLITHO 国外
1620 TEL Alpha-8SE DIFFUSION TEL Alpha-8SE 2005 DIFFUSION 国外
1619 TEL IW-6C FURNACE_LP-CVD TEL IW-6C 1994 FURNACE 国外
1618 TEL MARK7涂胶显影机 TEL MARK7 1993 WET 国外
1617 TEL MARK8涂胶显影机 TEL MARK8 1999 PHOTOLITHO 国外
1616 TEL MARK-V涂胶显影机 TEL MARK-V 1992 PHOTOLITHO 国外
1615 TEL MARK-VZ涂胶显影机 TEL MARK-VZ 1998 PHOTOLITHO 国外
1614 TEL P-12XL TEL P-12XL 2002 TEST 国外
1613 TEL P-12XL TEL P-12XL 2002 TEST 国外
1612 TEL P-12XLn TEL P-12XLn 2006 TEST 国外
1611 TEL P-8XL TEL P-8XL 2000 TEST 国外
1610 TEL P-8XL TEL P-8XL 2004 TEST 国外
1609 TEL P-8XL TEL P-8XL 2008 TEST 国外
1608 TEL SS-4 TEL SS-4 2001 WET 国外
1607 TEL SS-4 TEL SS-4 2003 WET 国外
1606 TEL TACTRAS TEL TACTRAS 2013 ETCH 国外
1605 TEL TE5000ATC TEL TE5000ATC 1992 ETCH 国外
1604 TEL TE8500(S) TEL TE8500(S) 2000 ETCH 国外
1603 TEL TE8500(S)ATC TEL TE8500(S)ATC 1992 ETCH 国外
1602 TEL TE8500(S)ATC TEL TE8500(S)ATC 1993 ETCH 国外
1601 TEL TE8500(S)ATC TEL TE8500(S)ATC 1995 ETCH 国外
1600 TEL TE8500ATC TEL TE8500ATC 1995 ETCH 国外
1599 TEL Telius SCCM TEL Telius SCCM 2000 ETCH 国外
1598 TEL Unity Me 85D TEL Unity Me 85D 2003 ETCH 国外
1597 TEL UnityII-855II TEL UnityII-855II 1996 ETCH 国外
1596 TEL UnityII-855II TEL UnityII-855II 1996 ETCH 国外
1595 TEL UnityIIe-655II TEL UnityIIe-655II 2002 ETCH 国外
1594 TEL UnityII-855II TEL UnityIIe-855II 1997 ETCH 国外
1593 TEL UnityII-855II TEL UnityIIe-855II 2002 ETCH 国外
1592 TEL UnityIIe-855SS TEL UnityIIe-855SS 2000 ETCH 国外
1591 TEL UnityIIe-855SS TEL UnityIIe-855SS 2006 ETCH 国外
1590 ASHER TOK TCA-3822 1995 ASHER 国外
1589 ASHER TOK TCA-3822 1995 ASHER 国外
1588 OXIDE ETCHER TOK TCE-3822 - ETCH 国外
1587 OXIDE ETCHER TOK TCE-3822 - ETCH 国外
1586 AUTOMATIC VISUAL INSPECTION TORAY INSPECTRA-3000TR200M 2015 METROLOGY 国外
1585 ULTRATECH Saturn-SS3 ULTRATECH Saturn-SS3 2001 PHOTOLITHO 国外
1584 ULVAC EI-7L蒸发台 ULVAC爱发科 EI-7L - PVD 国外
1583 USHIO PE-250R2HK USHIO PE-250R2HK - PHOTOLITHO 国外
1582 USHIO PE-250T2HM USHIO PE-250T2HM - PHOTOLITHO 国外
1581 USHIO UMA-1002-HC933HD激光扫描仪 USHIO UMA-1002-HC933HD 1998 PHOTOLITHO 国外
1580 LDI设备 3波长(wavelength)/6HEAD SCREEN - 2019 Photo Solder 国外
1579 LDI设备 405波长 (wavelength) ADTECH - 2016 Resist 国外
1578 LDI设备 3波长(wavelength)/5HEAD SCREEN - 2018 DF 国外
1577 LDI设备 多波长(multi wavelength) overtech - 2014 PSR/DF 国外
1576 真空层圧设备 LEETEK - 2014 DF 国外
1575 自动露光机/平行光 Hakuto - 2009 DF 国外
1574 自动露光机/平行光 Hakuto - 2007 DF 国外
1573 自动露光机/平行光 ORC - 2004 DF 国外
1572 HITACHI DF层压设备 HITACHI DF层压设备 - PSR/DF 国外
1571 PSR全自动印刷机 SERIA - 2005 DF 国外
1570 PSR悬挂干燥机 BMI - 2005 PSR 国外
1569 PSR半自动4轴机 SERIA - 2016 PSR 国外
1568 PSR半自动4轴机 SDK' - 2018 PSR MARKING 国外
1567 PSR半自动4轴机 SDK' - 2017 PSR MARKING 国外
1566 PSR半自动4轴机 minongmt - 2010 PSR MARKING 国外
1565 PSR隧道式预干燥机 SMUV - 2020 PSR MARKING 国外
1564 PSR预处理喷射线 SIE - 2007 PSR 国外
1563 PSR现像机 Taesung - 2009 PSR 国外
1562 PSR最终干燥机 Taeyang - 1999 PSR 国外
1561 PSR UV干燥机 SMUV - 2020 PSR 国外
1560 箱式干燥机 SMUV - 2020 PSR 国外
1559 自动搬送Conveyor Hansong - 2018 PSR 国外
1558 DES(Development Etching Strip )Line - - - AUTOMATION 国外
1557 2 Chamber)/Flexible or Rigid 対応 Camellia - 2014 PATTEN 国外
1556 DES(Development Etching Strip )Line - - - PATTEN 国外
1555 2 Chamber)/Rigid 対応 Camellia - 2007 PATTEN 国外
1554 DES(Development Etching Strip )Line - - - PATTEN 国外
1553 4 Chamber)/Flexible or Rigid 対応 NTP - 2011 PATTEN 国外
1552 DES(Development Etching Strip )Line - - - PATTEN 国外
1551 4 Chamber)/Rigid 対応 SMC - 2007 LAYER 国外
1550 Half Etching(电镀后) Taesung - 2011 LAYER 国外
1549 氧化物(Sheet RTR兼用) DUC - 2017 LAYER 国外
1548 外形加工(切断) Daliang - 2013 LAYER 国外
1547 2 轴 X-RAY Drill Machine Hansong - 2013 LAYER 国外
1546 2 轴 X-RAY Drill Machine Hansong - 2012 LAYER 国外
1545 SUS 前处理装置 Taesung - 2012 LAYER 国外
1544 自动真空成形装置(6段) Fusei - 1999 LAYER 国外
1543 自动真空成形装置(6段) Fusei - 2006 LAYER 国外
1542 冷压液压机(6段) Fusei - 1999 COATING 国外
1541 圧力机 Fusei - 1999 COATING 国外
1540 Brown Oxide Keystone - 2011 COATING 国外
1539 2次Lay up设备 ESSENTEK - 2005 COATING 国外
1538 VF电镀装置/15,000张 TKC - 2009 COATING 国外
1537 VF电镀装置/15,000张 TKC - 2011 COATING 国外
1536 4轴前处理机 Taesung - 2009 COATING 国外
1535 2轴去毛刺设备 Taesung - 2012 HARF MACHINE 国外
1534 水平去污设备 Keystone - - HARF MACHINE 国外
1533 Black Hole Machine Keystone - - SPS 国外
1532 Shield Press Machine DINGA - 2012 RELIABILITY 国外
1531 Shield Press Machine VISION KOREA - 2016 RELIABILITY 国外
1530 PCB BONDING Machine Hansong - 2003 RELIABILITY 国外
1529 3次元测定器 MicroVu - 2018 - 国外
1528 2010/2009 - - - - 国外
1527 3次元测定器 MicroVu - 2005 - 国外
1526 电镀测厚仪 SEIKO - 2012 - 国外
1525 Plasma Therm Versaline ICP-RIE #2 PSS IC Plasma Therm Versaline ICP-RIE #2 PSS - As-is 国外
1524 AMSL XT760F KrF Scanner AMSL XT760F KrF Scanner - As-is 国外
1523 EBARA EPO222 CMP EBARA EPO222 CMP - As-is 国外
1522 Oxford Plasmalab System 100 RIE+PECVD OXFORD牛津 Plasmalab System 100 RIE+PECVD - As-is 国外
1521 DNS SU3200 DNS SU3200 - As-is 国外
1520 LAM RAINBOW 4420等离子刻蚀机 LAM泛林 RAINBOW 4420 - 6" As-is 国外
1519 KLA Tencor 2552缺陷数据分析处理仪 KLA科磊 2552 - As-is 国外
1518 USHIO UX-4440 Aligner USHIO UX-4440 Aligner - As-is 国外
1517 CHA Revolution CHA Revolution - As-is 国外
1516 ULVAC EX W300多腔溅射设备 ULVAC爱发科 EX W300 - As-is Multi-ch 国外
1515 ULVAC EI-7K蒸发台 ULVAC爱发科 EI-7K - As-is 国外
1514 ULVAC EI-5K蒸发台 ULVAC爱发科 EI-5K - As-is 国外
1513 ULVAC Chamber(5CH) ULVAC爱发科 Chamber(5CH) - 12" As-is 国外
1512 Ebara F-REX300S CMP Ebara F-REX300S CMP - 12" FULL REPUB 国外
1511 Maxis 300LA ICP Maxis 300LA ICP - 12" As-is 国外
1510 Nippon Sanso Nippon Sanso - 6" As-is 国外
1509 Aixtron Crius II Aixtron Crius II - As-is 国外
1508 DISCO DFD641划片机 DISCO DFD641 - 8"Working 国外
1507 Oxford Plasma Pro NGP1000 OXFORD牛津 Plasma Pro NGP1000 - 12" As-is 国外
1506 AMAT Centura DPS+ Poly Etch AMAT应用材料 Centura DPS+ Poly Etch - As-is 国外
1505 AMAT Producer-GT CVD AMAT应用材料 Producer-GT CVD - As-is 国外
1504 AMAT mirra MESA CMP AMAT应用材料 mirra MESA CMP - FULL REPUB 国外
1503 AMAT P5000刻蚀机 AMAT应用材料 P5000 - 8" REFURB 国外
1502 AMAT P5000刻蚀机 AMAT应用材料 P5000 - FULL REPUB 国外
1501 HITACHI HL7800M HITACHI HL7800M - As-is 国外
1500 HITACHI HL8000M HITACHI HL8000M - As-is 国外
1499 HITACHI S-4800扫描电子显微镜 HITACHI S4800 - working 国外
1498 HITACHI FESEM S4700 II扫描电子显微镜 HITACHI FESEM S4700 II - FULL REPUB 国外
1497 HITACHI FESEM S4700 II扫描电子显微镜 HITACHI FESEM S4700 II - Working 国外
1496 HITACHI S-9260A HITACHI S-9260A - 8" FULL REPUB C 国外
1495 TEL P-12XL Probe TEL P-12XL Probe - 12" As-is 国外
1494 TEL MARK-VZ涂胶显影机 TEL MARK-VZ - As-is 2C2D 国外
1493 TEL ACT8 2C4D, Double Block TEL ACT8 2C4D, Double Block - working 国外
1492 TEL MARK8涂胶显影机 TEL MARK8 - working track ( 国外
1491 TEL MARK7涂胶显影机 TEL MARK7 - 200 As-is Singl 国外
1490 TEL MARK8涂胶显影机 TEL MARK8 - 200 As-is Singl 国外
1489 TEL ACT12 Single Block TEL ACT12 Single Block - 300 As-is 国外
1488 TEL ACT8 Single Block TEL ACT8 Single Block - 200 As-is 国外
1487 CANON FPA3000i4 CANON FPA3000i4 - Working 国外
1486 NIKON NSR S205C光刻机 NIKON NSR-S205C - As-is 国外
1485 NIKON NSR SF200光刻机 NIKON NSR-SF200 2003 Working 国外
1484 NIKON NSR 207D光刻机 NIKON NSR-207D - working 国外
1483 NIKON NSR 2205i12D光刻机 NIKON NSR-2205i12D - Initial Conditi 国外
1482 NIKON NSR 2005i9C步进式光刻机 NIKON NSR-2005i9C - Working 国外
1481 NIKON NSR 2205 EX12B光刻机 NIKON NSR-2205EX12B - As-is 国外
1480 NIKON NSR 1505G7E光刻机 NIKON NSR-1505G7E - 6" Working 国外
1479 NIKON NSR 2205i12D光刻机 NIKON NSR-2205i12D - 6"reticle(SMIF) 国外
1478 NIKON NSR 2005i9C步进式光刻机 NIKON NSR-2005i9C - R), Fab out (Jun. 2019)">Uniformity(2.35 国外
1477 NIKON NSR 2205 EX12B光刻机 NIKON NSR-2205EX12B - Available Dec, 国外
1476 NIKON NSR 1505G7E光刻机 NIKON NSR-1505G7E - w/cymer laser, 国外
1475 Advantest T5375 ATE Advantest T5375 - single head wit 国外
1474 KARL SUSS MA200光刻机 KARL SUSS MA200 1989 8" Holder , 2x 国外
1473 AMAT Centura DPS2 Metal AMAT应用材料 Centura DPS2 Metal 2005 EFEM(NT, Yaskaw 国外
1472 AMAT Centura Axiom Chamber AMAT应用材料 Centura Axiom Chamber 2006 Axiom Only (w/V 国外
1471 Mattson Helios RTP Mattson Helios 2009 3x TDK TAS300 L 国外
1470 Mattson Helios RTP Mattson Helios 2004 1. Chamber Qty. 国外
1469 Mattson Helios RTP Mattson Helios 2004 3x TDK TAS300 L 国外
1468 Mattson Helios RTP Mattson Helios 2005 3x TDK TAS300 L 国外
1467 Agilent 4073B ATE Agilent 4073B 2005 48Pin / SMU:HRS 国外
1466 Agilent 4073A ATE Agilent 4073A 2001 32pin(of 32pins 国外
1465 Agilent 4073B ATE Agilent 4073B 2011 48pin, SMU7[MPS 国外
1464 AMAT P5000刻蚀机 AMAT应用材料 P5000 1988 CVD Mark1, 3x D 国外
1463 TEL LITHIUS Pro-i TEL LITHIUS Pro-i 2007 9COT 3DEV with 国外
1462 TEL LITHIUS TEL LITHIUS 2007 5C5D, Inlined t 国外
1461 AMAT Reflexion FA AMAT应用材料 Reflexion FA 2005 CMP 国外
1460 KARL SUSS CBC200 KARL SUSS CBC200 2013 Cluster Frame, 国外
1459 TERADYNE MAGNUM2X 2x GVLC TERADYNE MAGNUM2X 2x GVLC - Frame Only. No 国外
1458 TERADYNE MAGNUM2X SSV TERADYNE MAGNUM2X SSV - [Missing indica 国外
1457 KARL SUSS CB200M KARL SUSS CB200M 2012 Process chamber 国外
1456 AMAT Vantage 5 AMAT应用材料 Vantage 5 2012 RTP 国外
1455 KLA Viper 2435 KLA科磊 Viper 2435 2006 - 国外
1454 KLA Viper 2438 KLA科磊 Viper 2438 2008 - 国外
1453 TERADYNE IP750测试系统 TERADYNE IP750 2000 512ch head(1), 国外
1452 TERADYNE IP750测试系统 TERADYNE IP750 2000 512ch head(1), 国外
1451 TERADYNE IP750测试系统 TERADYNE IP750 2000 512ch head(1), 国外
1450 TERADYNE IP750EP测试系统 TERADYNE IP750EP 2002 512ch head(1), 国外
1449 TERADYNE IP750测试系统 TERADYNE IP750 2000 Power condition 国外
1448 TERADYNE UltraFLEX TERADYNE UltraFLEX 2011 Z800(W/S)36 slo 国外
1447 TERADYNE J750EX测试系统 TERADYNE J750EX 2015 1024ch size Lar 国外
1446 TERADYNE IP750EX测试系统 TERADYNE IP750EX 2001 HEAD(Modified f 国外
1445 TERADYNE J750测试系统 TERADYNE J750 2004 韩国 Main, Head, 国外
1444 SMT Famecs FMBL-200AND-SHE 2013 - 国外
1443 TERADYNE IP750测试系统 TERADYNE IP750 2000 512ch head(1), 国外
1442 TERADYNE IP750S测试系统 TERADYNE IP750S - 512ch head(1), 国外
1441 PKG Musashi AWATRON2 AW-MV310 2013 Vacuum Pump : 2 国外
1440 NIKON N-SIS超分辨率显微镜 NIKON N-SIS 5 - - 国外
1439 NIKON N-SIS超分辨率显微镜 NIKON N-SIS 5 - - 国外
1438 NIKON N-SIS超分辨率显微镜 NIKON N-SIS 5 - - 国外
1437 NIKON N-SIS超分辨率显微镜 NIKON N-SIS 5 - - 国外
1436 NIKON N-SIS超分辨率显微镜 NIKON N-SIS 5 - - 国外
1435 TEL Trias CVD TEL Trias 2004 4 x CVD TiN, 3 国外
1434 TEL Trias CVD TEL Trias 2011 Trias E+, UV RF 国外
1433 TEL Trias CVD TEL Trias 2006 Ti Ch x2, TiN C 国外
1432 TEL Trias CVD TEL Trias 2012 3CH 国外
1431 TEL Trias CVD TEL Trias 2013 EXII ALD TiN 1C 国外
1430 TEL Trias SPA CVD TEL Trias SPA 2010 LM+TM+AC Rack, 国外
1429 ACCRETECH UF3000探针台 ACCRETECH东京精密 UF3000 2007 Right Single Lo 国外
1428 AXCELIS RapidCure 320FC Track AXCELIS RapidCure 320FC 2007 - 国外
1427 NITTO HR8500II撕膜机 NITTO HR8500II 2002 5,6,8" Universa 国外
1426 AMAT Centura DPS2 Metal AMAT应用材料 Centura DPS2 Metal 2005 EFEM(Server, Ya 国外
1425 AMAT Producer GT CVD AMAT应用材料 Producer GT 2011 3 Twin(HARP USG 国外
1424 AMAT Producer GT Chamber CVD AMAT应用材料 Producer GT Chamber 2010 1 Twin CH(ACL) 国外
1423 KLA Spectra FX200薄膜量测 KLA科磊 FX200 2006 [Power-on] 2por 国外
1422 Micromanipulator 9000-VIT Micromanipulator 9000-VIT 2005 - 国外
1421 TEL TSP 305 SCCM TE Etch TEL TSP 305 SCCM TE 2007 3x TE configure 国外
1420 Component Blue M DCC-206-EV-ST350 1999 - 国外
1419 Component EBARA EST 300 - - 国外
1418 Component EBARA EST200WN - - 国外
1417 Metrology VLSI Standard PDS-100 2000 Particle Counte 国外
1416 Advantest 83000 ATE Advantest 83000 2000 - 国外
1415 Advantest 83000 ATE Advantest 83000 - - 国外
1414 Advantest 83000 ATE Advantest 83000 - - 国外
1413 Metrology VEECO V220SI - - 国外
1412 Component ITS Single Mix Tank - - 国外
1411 Electroglas EG2001X ATE Electroglas EG2001X - - 国外
1410 Component Blue M RG-3010F-2 - System S/N: R2- 国外
1409 Steag ElectroDep 2000 Etch Steag ElectroDep 2000 2000 - 国外
1408 AG Associates Steag Etch AG Associates Steag - - 国外
1407 Component Oryx M65X - - 国外
1406 Component Oryx M65X - - 国外
1405 Electroglas EG4090u ATE Electroglas EG4090u 1999 Tool was functi 国外
1404 Electroglas EG4090u ATE Electroglas EG4090u 1999 Tool was functi 国外
1403 Electroglas EG4090u ATE Electroglas EG4090u 1999 Tool was functi 国外
1402 Electroglas EG4090u ATE Electroglas EG4090u 2004 Tool was functi 国外
1401 Metrology PMS Lasair 110 2013 - 国外
1400 WET GTX Wet Bench - - 国外
1399 Kinetic Systems 9101-21-21 Kinetic Systems 9101-21-21 1994 - 国外
1398 Component Akrion UP V2 MP.2000 - Bagged & Skidde 国外
1397 Component Thermo Fisher Scientific FED720 - - 国外
1396 Component Feedmatic Vacuum Sealer 1997 - 国外
1395 Component Akrion UP V2 MP.2000 1999 Bagged & Skidde 国外
1394 KLA CRS1010 KLA科磊 CRS1010 1998 Microscope 国外
1393 WET Autoclean ISG-2000 - - 国外
1392 Component Akrion UP V2 MP.2000 2000 - 国外
1391 Component Akrion UP V2 MP.2000 2000 Bagged & Skidde 国外
1390 Component Akrion UP V2 MP.2000 - Main system 1, 国外
1389 Component Sonicor Instrument TS-2404/402424H - - 国外
1388 Unknown Parts Clean Box-Exhaust Unknown Parts Clean Box-Exhausted 1995 for Parts Clean 国外
1387 LAM Synergy CMP LAM泛林 Synergy 1997 - 国外
1386 WET Akrion MP-2000 2000 Unhooked, disma 国外
1385 HITACHI AS5000 HITACHI AS5000 1997 Metrology / SEM 国外
1384 Component Thermo Fisher Scientific FED720 - - 国外
1383 LASERTEC PEGSIS P100 LASERTEC PEGSIS P100 2011 - 国外
1382 Component Thermo Fisher Scientific FD400 1995 - 国外
1381 Component Jackson Automation EXHAUST BOX 2000 Implant / Clean 国外
1380 Component Jackson Automation Exhaust Cabinet - - 国外
1379 Component Blue M DCC206CY 1995 - 国外
1378 Component Jackson Automation Exhaust Cabinet - - 国外
1377 Metrology JEOL JWS-7515 1999 - 国外
1376 WET Pan Abrasives KS9090WB/SS4 - - 国外
1375 AG Associates Extraction, Amine AG Associates Extraction, Amine 2000 - 国外
1374 Component Thermo Fisher Scientific FD400 - - 国外

页次: 5 / 8页 每页:500 产品数:3873   9[1][2][3][4][5][6][7][8]: 总共有8页

龙玺精密-二手半导体设备买卖+翻新+非标定制 龙先生18868521984(微)

注:设备状态不定期更新,是否已售出请咨询。