龙玺精密-为您提供二手半导体设备买卖+翻新服务,可按客户要求非标定制.18868521984龙先生
2024-4-28二手设备列表
关键字  
首页 CANON NIKON DISCO ASML AMAT TEL LAM KLA SEMICS HITACHI YOKOGAWA
ID 设备名称 制造商 型号 年份 详细配置 状 态
873 SMT Hanwha STF-ED-20TH 2016 - 国外
872 SMT Hanwha STF-ED-20TH 2016 - 国外
871 SMT Hanwha POP Unit 2016 - 国外
870 SMT Hanwha Power Supply (2) 2016 - 国外
869 SMT Hanwha V Feeder Storage Rack (2) 2016 - 国外
868 SMT Hanwha V Feeder Storage Rack (2) 2016 - 国外
867 ATE Thermonics T2500SE - - 国外
866 ATE Thermonics T2500SEA - - 国外
865 PKG Hyer Photon Systems HPS-376KT 2007 Material: Metal 国外
864 PKG OPTO SYSTEM WMSS2000 2008 Wafer Type: Ver 国外
863 PKG OPTO SYSTEM WDS2200 2007 LED Chip Sorter 国外
862 PKG Kaijo FB700 2004 Thermos Sonic t 国外
861 PKG Kaijo FB700 2004 Thermos Sonic t 国外
860 PKG Kaijo FB700 2004 Thermos Sonic t 国外
859 PKG Kaijo FB700 2004 Thermos Sonic t 国外
858 Component Seiko Seiki STP-A2203 1999 Include TURBO P 国外
857 Component Seiko Seiki STP-A2203 2000 Include TURBO P 国外
856 HITACHI S-5200扫描电子显微镜 HITACHI S-5200 2001 initialized con 国外
855 TEL Trias Chamber CVD TEL Trias Chamber - CVD Ti Ch( RF g 国外
854 TEL Trias Chamber CVD TEL Trias Chamber - CVD Ti Ch( RF g 国外
853 TEL Trias Chamber CVD TEL Trias Chamber 2016 CVD Ti Ch( RF g 国外
852 TEL Trias Chamber CVD TEL Trias Chamber 2016 CVD Ti Ch( RF g 国外
851 TEL Trias Chamber CVD TEL Trias Chamber 2016 CVD Ti Ch( RF g 国外
850 AMAT Centura DPS2 AdvantEdge G Etch AMAT应用材料 Centura DPS2 AdvantEdge G5 Mes 2007 G5 Mesa. EFEM(S 国外
849 TEL Alpha-303i-K Furnace TEL Alpha-303i-K 2004 1. GFC : N2, N2 国外
848 AMAT Centura DPS2 Chamber Etch AMAT应用材料 Centura DPS2 Chamber - DPS2 Poly Chamb 国外
847 AMAT Centura DPS2 Chamber Etch AMAT应用材料 Centura DPS2 Chamber - DPS2 Poly Chamb 国外
846 AMAT Centura DPS2 Chamber Etch AMAT应用材料 Centura DPS2 Chamber - DPS2 Poly Chamb 国外
845 TEL Trias SPA CVD TEL Trias SPA 2010 LM+TM+AC Rack, 国外
844 AXCELIS RapidCure 320FC Track AXCELIS RapidCure 320FC 2006 - 国外
843 AMAT Centura DPS2 Metal Etch AMAT应用材料 Centura DPS2 Metal 2005 EFEM(Server, Ka 国外
842 AMAT Centura eMax CT+ Etch AMAT应用材料 Centura eMax CT+ 2007 EFEM, TM, 3x eM 国外
841 KLA Puma 9000晶圆检测系统 KLA科磊 Puma 9000 2005 [Semi power-on] 国外
840 CVD ASM Eagle12 2005 2CH 国外
839 Component MKS PL-2HF - - 国外
838 Component MKS OEM-50N - - 国外
837 AMAT Producer SE CVD AMAT应用材料 Producer SE 2009 2x BDII 1x UV C 国外
836 LAM(Novellus) Vector CVD LAM(Novellus) Vector 2005 Vector RPC 国外
835 Component NESLAB Steelhead3 CHX 2006 Heat Exchanger 国外
834 Component NESLAB Steelhead1 2006 Heat Exchanger 国外
833 AMAT Centura eMax CT+ Etch AMAT应用材料 Centura eMax CT+ 2007 EFEM(Server, Ya 国外
832 AMAT P5000刻蚀机 AMAT应用材料 P5000 1996 CVD MarkII, 2x 国外
831 AMAT Centura DPS Metal Etch AMAT应用材料 Centura DPS Metal 1996 C1P1, WBLL, 1x 国外
830 CANON FPA-5500iZ CANON FPA-5500iZ 2001 R), Power on condition">Uniformity(2.66 国外
829 AMAT Producer SE CVD AMAT应用材料 Producer SE 2004 HT-SiN 3 Twin, 国外
828 Component SMC INR-496-003D-X007 2007 Galden Fluorine 国外
827 Component SMC INR-496-003D-X007 2010 Galden Fluorine 国外
826 Component SMC INR-496-003D-X007 2007 Galden Fluorine 国外
825 Component SMC INR-496-003D-X007 2008 Galden Fluorine 国外
824 Component THERMO ELECTRON CO., LTD MX+500W D3 - - 国外
823 KLA Puma 9000晶圆检测系统 KLA科磊 Puma 9000 2005 [As-is] 2ea*Loa 国外
822 AMAT DPS2 532 Metal Chamber Etch AMAT应用材料 DPS2 532 Metal Chamber 2004 DPS2 532 Metal 国外
821 KNS ICONN PKG KNS ICONN 2015 ConnX LED->ICon 国外
820 CVD GES CAYMAN 2004 Cayman, 2Twin( 国外
819 CVD GES CAYMAN 2006 Cayman, 2Twin( 国外
818 ATE Advantest T5377 - Dual head full 国外
817 ATE Advantest M6300 - Standard Cold O 国外
816 ATE Advantest M6300 - Standard Cold O 国外
815 TEL LITHIUS Track TEL LITHIUS 2005 2C5D, Inlined t 国外
814 LAM(Novellus) Vector Express CVD LAM(Novellus) Vector Express 2004 PESINn PETEOS, 国外
813 CVD Novellus C3 Speed NeXT 2004 NeXT x 3Ch(ILD 国外
812 LTX CREDENCE Sapphire ATE LTX CREDENCE Quartet One - - 国外
811 LTX CREDENCE Sapphire ATE LTX CREDENCE Quartet One - - 国外
810 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - Pull Back 国外
809 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
808 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
807 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
806 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
805 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
804 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
803 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
802 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
801 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
800 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
799 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
798 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
797 PKG DAGE XD7600-1 - - 国外
796 PKG DAGE XD7600 - - 国外
795 SSP BPS-6200 PKG SSP BPS-6200 - - 国外
794 PKG SSP APP-7000U - - 国外
793 PKG DAGE XD7600NT - - 国外
792 PKG YES,INC YES-PBV300 2012 - 国外
791 PKG Hanmi Semiconductor 3D VISION - - 国外
790 PKG Hanmi Semiconductor 3D VISION - - 国外
789 PKG Protec PRO-6020 - Pulled Back 国外
788 PKG SSP APP-6000 - - 国外
787 PKG Protec PRO-6010 - Pulled Back 国外
786 PKG Duocom HS-2900A - - 国外
785 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
784 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
783 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
782 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
781 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
780 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
779 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
778 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
777 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
776 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
775 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
774 Electroglas EG4090u+ ATE Electroglas EG4090u+ - Gold Chuck, Hot 国外
773 ATE Electroglas EG6000 - 12inch Gold Chu 国外
772 ATE Electroglas EG6000 - 12inch Gold Chu 国外
771 PKG ESEC 2008 HS3 PLUS - Bond Head, Wafe 国外
770 PKG ESEC 2008 HS3 PLUS - Bond Head, Wafe 国外
769 PKG ESEC 2008 HS3 PLUS - Bond Head, Wafe 国外
768 PKG ESEC 2008 HS3 PLUS - Bond Head, Wafe 国外
767 SMT Asymtek C-730 - - 国外
766 PKG Fico AMSW40306 - - 国外
765 PKG Hanmi Semiconductor S&P-3000D - - 国外
764 PKG SAMSUNG OTRON SSO-APP-300 - - 国外
763 PKG HAANA HPP-5500 - - 国外
762 PKG SAMSUNG OTRON SSO-PAS-100 - - 国外
761 DAGE BT-4000 DAGE BT-4000 - - 国外
760 DAGE BT-4000TPXY DAGE BT-4000TPXY - - 国外
759 SMT BTU P98 - - 国外
758 PKG YES,INC YES-PBV300 - - 国外
757 EV GROUP EVG 850TB EV GROUP EVG 850TB - - 国外
756 PKG EO Technics LMC3200G3 2012 X-Axis Fail 国外
755 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
754 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
753 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
752 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
751 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
750 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
749 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
748 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
747 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
746 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
745 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
744 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
743 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
742 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
741 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
740 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
739 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
738 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
737 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
736 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
735 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
734 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
733 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
732 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
731 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
730 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
729 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
728 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
727 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
726 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
725 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
724 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
723 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
722 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
721 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
720 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
719 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
718 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
717 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
716 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
715 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
714 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
713 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
712 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
711 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
710 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
709 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
708 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
707 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
706 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
705 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
704 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
703 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
702 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
701 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
700 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
699 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
698 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
697 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
696 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
695 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
694 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
693 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
692 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
691 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
690 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
689 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
688 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
687 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
686 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
685 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
684 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
683 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
682 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
681 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
680 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
679 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
678 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
677 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
676 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
675 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
674 KNS MAXUM ULTRA PKG KNS MAXUM ULTRA - - 国外
673 PKG EO Technics LMC3200G 2011 BU: eWLB, Tool 国外
672 PKG EO Technics LMC3200G 2011 BU: eWLB, Tool 国外
671 PKG EO Technics LMC3200G 2011 BU: eWLB, Tool 国外
670 DAGE 4800 PKG DAGE 4800 2016 BU: eWLB, Tool 国外
669 Rudolph JetStep A2300 Stepper Rudolph JetStep A2300 2012 BU: eWLB, Tool 国外
668 KARL SUSS ACS200涂胶显影机 KARL SUSS ACS200 2013 2C2D, L->R, 4 S 国外
667 PKG Dong Yang Semicon Equip. Co. L DPM-618-HMV 2000 BU: WB, Tool Co 国外
666 PKG MINAMI MK-WIR403 2011 BU: WLB, Tool C 国外
665 PKG Muhlbauer DS 10000 2010 BU: WLD, Tool C 国外
664 PKG Muhlbauer DS 10000 2007 BU: WLD, Tool C 国外
663 PKG Muhlbauer DS 10000 2007 BU: WLD, Tool C 国外
662 PKG Muhlbauer DS 10000 2007 BU: WLD, Tool C 国外
661 PKG Muhlbauer DS 10000 2007 BU: WLD, Tool C 国外
660 PSK Ecolite2000 Asher PSK Ecolite2000 2013 BU: WLB, Tool C 国外
659 PKG Datacon 8800 Chameo 2009 BU: eWLB, Tool 国外
658 PKG Datacon 8800 Chameo 2011 BU: eWLB, Tool 国外
657 NIKON mWL 300s for VMZ-R6555 NIKON mWL 300s for VMZ-R6555 2014 BU: eWLB, Tool 国外
656 WET Novellus Sabre 3D 2017 BU: eWLB, Tool 国外
655 Etch GPTC UFO-300-C2 2011 BU: eWLB, Tool 国外
654 PKG EO Technics CSM3002HD 2014 BU: eWLB, Tool 国外
653 Metrology VEECO NT9800 2010 BU: eWLB, Tool 国外
652 PKG RBN DT-WDB-2050A 2007 BU: eWLB, Tool 国外
651 PKG DYNATECH DT-TLD2030_HD 2014 BU: eWLB, Tool 国外
650 Asher Trymax Neo3000 2010 BU: eWLB, Tool 国外
649 Metrology Rudolph NSX115 2009 BU: eWLB, Tool 国外
648 Metrology Rudolph NSX115 2010 BU: eWLB, Tool 国外
647 PKG EO Technics LMC3200G 2011 BU: eWLB, Tool 国外
646 PKG EO Technics LMC3200G 2014 BU: eWLB, Tool 国外
645 PKG APT VFS-60A 2014 BU: WB, Tool Co 国外
644 PKG KINERGY Auto buffing machine 2013 BU: WB, Tool Co 国外
643 PKG KINERGY Auto buffing machine 2015 BU: WB, Tool Co 国外
642 PKG KOSES KAM760US 2013 BU: WB, Tool Co 国外
641 Etch CHEMCUT CORPORATION CC8000 2013 BU: WB, Tool Co 国外
640 PKG SILICON INDUSTRIAL EQUIPM QMO-6DS 2000 BU: WB, Tool Co 国外
639 PKG SILICON INDUSTRIAL EQUIPM QMO-6DS 2003 BU: WB, Tool Co 国外
638 PKG SILICON INDUSTRIAL EQUIPM QMO-6DS 2000 BU: WB, Tool Co 国外
637 PKG KOSES KAM750S 2005 BU: WB, Tool Co 国外
636 PKG Hanmi Semiconductor BGA VISION INSPECTION SYSTEM 2005 BU: WB, Tool Co 国外
635 PKG Duocom HS-3640 2010 BU: WB, Tool Co 国外
634 PKG Duocom HS-3640 2009 BU: WB, Tool Co 国外
633 PKG APT VFS-60A 2012 BU: WB, Tool Co 国外
632 PKG ZILIX ZT-LP1000 2005 BU: WB, Tool Co 国外
631 PKG Dongyang FPM-61818-HMV 2006 BU: WB, Tool Co 国外
630 PKG Dongyang DPM-618-BHMV 2009 BU: WB, Tool Co 国外
629 PKG Dong Yang Semicon Equip. Co. L FLM-818MV 2003 BU: WB, Tool Co 国外
628 PKG Dong Yang Semicon Equip. Co. L FLM-818MV 2004 BU: WB, Tool Co 国外
627 Component Jettech Ltd C990-S30-4M-4M 2009 BU: WB, Tool Co 国外
626 DISCO MEGCON II PRCII-2000ACD-SS DISCO MEGCON II PRCII-2000ACD-SS 2012 BU: WB, Tool Co 国外
625 PKG Various Chip Cap Line - BU: WB, Tool Co 国外
624 ATE Hontech HT-7045 2013 BU: WB, Tool Co 国外
623 PKG Manufacturing Integration Tech CAERUS C300i 2013 BU: WLD, Tool C 国外
622 PKG Manufacturing Integration Tech CAERUS C300i 2014 BU: WLD, Tool C 国外
621 PKG Manufacturing Integration Tech CAERUS MDS300 2010 BU: WLD, Tool C 国外
620 PKG Manufacturing Integration Tech CAERUS MDS300 2010 BU: WLD, Tool C 国外
619 PKG Manufacturing Integration Tech CAERUS MDS300 2008 BU: WLD, Tool C 国外
618 PKG Manufacturing Integration Tech CAERUS MDS300 2008 BU: WLD, Tool C 国外
617 PKG Manufacturing Integration Tech CAERUS MDS300 2010 BU: WLD, Tool C 国外
616 PKG Muhlbauer DS 10000 2007 BU: WLD, Tool C 国外
615 PKG Muhlbauer DS 10000 2008 BU: WLD, Tool C 国外
614 PKG Muhlbauer DS 10000 2008 BU: WLD, Tool C 国外
613 PKG Muhlbauer DS 10000 2010 BU: WLD, Tool C 国外
612 PKG Muhlbauer DS 10000 2008 BU: WLD, Tool C 国外
611 PKG Muhlbauer DS 10000 2011 BU: WLD, Tool C 国外
610 PKG Muhlbauer DS 10000 2009 BU: WLD, Tool C 国外
609 PKG Muhlbauer DS 10000 2006 BU: WLD, Tool C 国外
608 PKG Muhlbauer DS 10000 2006 BU: WLD, Tool C 国外
607 PKG MUEHLBAUER TECHNOLOGIES SDN BH ARC20000 2017 BU: WLD, Tool C 国外
606 PKG MUEHLBAUER TECHNOLOGIES SDN BH ARC20000 2017 BU: WLD, Tool C 国外
605 PKG MUEHLBAUER TECHNOLOGIES SDN BH ARC20000 2017 BU: WLD, Tool C 国外
604 PKG MUEHLBAUER TECHNOLOGIES SDN BH ARC20000 2017 BU: WLD, Tool C 国外
603 ATE NEUVIS iVIS iR2R 2015 BU: WLD, Tool C 国外
602 ATE NEUVIS iVIS iR2R 2015 BU: WLD, Tool C 国外
601 ATE NEUVIS iVIS iR2R 2015 BU: WLD, Tool C 国外
600 ATE NEUVIS iVIS iR2R 2015 BU: WLD, Tool C 国外
599 ATE NEUVIS iVIS iR2R 2015 BU: WLD, Tool C 国外
598 ATE FITO TRI-1500 2016 BU: WLD, Tool C 国外
597 KARL SUSS MA200光刻机 KARL SUSS MA200 COMPACT 2012 BU: WLB, Tool C 国外
596 PKG FSI CT200 2010 BU: WLB, Tool C 国外
595 PKG Blue M DCC-336KY-UP55A 2012 BU: WLB, Tool C 国外
594 PKG RICHZ TECHNOLOGY (S) PTE LTD CT805D - BU: WLB, Tool C 国外
593 PKG FSI CT200 - BU: WLB, Tool C 国外
592 PKG FSI CT200 - BU: WLB, Tool C 国外
591 PKG Blue M DCC-336KY-UP55A 2012 BU: WLB, Tool C 国外
590 PKG Duocom HS-3640HC 2017 BU: TD WL, Tool 国外
589 SMT Asymtek M2000 1999 BU: TD WL, Tool 国外
588 PKG ESEC 2008 HS3 PLUS 2011 BU: TD WL, Tool 国外
587 PKG MAXI TECHNOLOGIES PTE LTD TRYMAX PANTHER 308 FOR EWLB 3 2006 BU: TD WL, Tool 国外
586 KARL SUSS ACS300涂胶显影机 KARL SUSS ACS300 2012 BU: eWLB, Tool 国外
585 ATE Cascade CM300-S 2016 BU: eWLB, Tool 国外
584 PKG ATHLETE BM-1110W 2009 BU: eWLB, Tool 国外
583 SMT ATHLETE BM1120WR 2010 BU: eWLB, Tool 国外
582 PKG EO Technics CSM3002 2013 BU: eWLB, Tool 国外
581 PKG Toray FC3000L2 2012 BU: TSV, Tool C 国外
580 PKG Tazmo TWS-M3111 2011 BU: TSV, Tool C 国外
579 PKG Tazmo TWS-D3111 2011 BU: TSV, Tool C 国外
578 Metrology WKK DISTRIBUTION (SINGAPORE) P QL-10EX 2011 BU: TSV, Tool C 国外
577 TREND ZONE LIMITED UH130-12 TREND ZONE LIMITED UH130-12 2011 BU: TSV, Tool C 国外
576 SEMICS OPUS II ATE SEMICS OPUS II 2006 BU: Test/Sort, 国外
575 SEMICS OPUS II ATE SEMICS OPUS II 2006 BU: Test/Sort, 国外
574 SEMICS OPUS II ATE SEMICS OPUS II 2006 BU: Test/Sort, 国外
573 SEMICS OPUS II ATE SEMICS OPUS II 2006 BU: Test/Sort, 国外
572 SEMICS OPUS II ATE SEMICS OPUS II 2006 BU: Test/Sort, 国外
571 SEMICS OPUS II ATE SEMICS OPUS II 2004 BU: Test/Sort, 国外
570 SEMICS OPUS II ATE SEMICS OPUS II 2006 BU: Test/Sort, 国外
569 SEMICS OPUS II ATE SEMICS OPUS II 2005 BU: Test/Sort, 国外
568 SEMICS OPUS II ATE SEMICS OPUS II 2006 BU: Test/Sort, 国外
567 SEMICS OPUS II ATE SEMICS OPUS II 2006 BU: Test/Sort, 国外
566 SEMICS OPUS II ATE SEMICS OPUS II 2006 BU: Test/Sort, 国外
565 SEMICS OPUS II ATE SEMICS OPUS II 2005 BU: Test/Sort, 国外
564 SEMICS OPUS II ATE SEMICS OPUS II 2005 BU: Test/Sort, 国外
563 SEMICS OPUS II ATE SEMICS OPUS II 2005 BU: Test/Sort, 国外
562 SEMICS OPUS II ATE SEMICS OPUS II 2005 BU: Test/Sort, 国外
561 SEMICS OPUS II ATE SEMICS OPUS II 2005 BU: Test/Sort, 国外
560 SEMICS OPUS II ATE SEMICS OPUS II 2004 BU: Test/Sort, 国外
559 SEMICS OPUS II ATE SEMICS OPUS II 2004 BU: Test/Sort, 国外
558 SEMICS OPUS II ATE SEMICS OPUS II 2005 BU: Test/Sort, 国外
557 SEMICS OPUS II ATE SEMICS OPUS II 2004 BU: Test/Sort, 国外
556 SEMICS OPUS II ATE SEMICS OPUS II 2004 BU: Test/Sort, 国外
555 SMT ATHLETE BM1120WR 2013 BU: eWLB, Tool 国外
554 Component RICHZ TECH CALTECH CT-1206D 2010 BU: eWLB, Tool 国外
553 PKG ATHLETE BM-1110W 2009 BU: eWLB, Tool 国外
552 WET SSEC M3302 - BU: eWLB (JSI), 国外
551 Track Fusion M150PCU - - 国外
550 Track Fusion M150PCU - - 国外
549 Track Fusion M150PCU - - 国外
548 AMAT Producer SE CVD AMAT应用材料 Producer SE 2006 2 Twiin( HF_Ape 国外
547 Mattson AST3000 RTP Mattson AST3000 2004 2 Port, one cha 国外
546 AMAT P5000刻蚀机 AMAT应用材料 P5000 1990 CVD Mark1, 3x D 国外
545 Mattson AST3000 RTP Mattson AST3000 1998 Rorze robot (RR 国外
544 ATE Electroglas EG2001 - - 国外
543 TEL NS300 Track TEL NS300 2005 CSB (3Foup), AC 国外
542 KARL SUSS Gamma KARL SUSS Gamma 2011 2C1D, 1HMDS, 3H 国外
541 AMAT Centura Enabler Etch AMAT应用材料 Centura Enabler 2006 EFEM(NT, Yaskaw 国外
540 AMAT Centura Enabler Etch AMAT应用材料 Centura Enabler 2006 EFEM(Server, Ya 国外
539 AMAT Centura Enabler Etch AMAT应用材料 Centura Enabler 2008 EFEM(Server, Ya 国外
538 SEZ SP201 WET SEZ SP201 - : a) Post-E 国外
537 AMAT Centura DPS2 Poly Etch AMAT应用材料 Centura DPS2 Poly 2007 EFEM(Kawasaki, 国外
536 AMAT Centura DPS2 Poly Etch AMAT应用材料 Centura DPS2 Poly 2007 EFEM(Kawasaki, 国外
535 AMAT Centura AP ISPRINT CVD AMAT应用材料 Centura AP ISPRINT 2008 4 xALD W CH, OS 国外
534 AMAT P5000刻蚀机 AMAT应用材料 P5000 - CVD Mark1, 2xDL 国外
533 KLA Aleris CX KLA科磊 Aleris CX 2007 [As-is] 2*loadp 国外
532 TEL LITHIUS Track TEL LITHIUS 2007 2C5D, Inlined t 国外
531 TEL LITHIUS Track TEL LITHIUS 2006 5C5D, Inlined t 国外
530 AMAT Centura Enabler E2 Etch AMAT应用材料 Centura Enabler E2 2010 EFEM(Server, Ya 国外
529 WET Semitool Raider ECD 2004 1 x Capsule , 2 国外
528 Component TOYOTA IPUP T100L - Loadlock Dry Pu 国外
527 Component Edwards STP-A2203LVS - Overhauled 国外
526 YOKOGAWA TS6700测试仪 YOKOGAWA TS6700 2003 PM(8), Interf 国外
525 YOKOGAWA TS6700测试仪 YOKOGAWA TS6700 2003 PM(8), Interf 国外
524 YOKOGAWA TS6700测试仪 YOKOGAWA TS6700 2003 PM9(8), Interfa 国外
523 YOKOGAWA TS6700测试仪 YOKOGAWA TS6700 2003 For special dev 国外
522 YOKOGAWA TS6700测试仪 YOKOGAWA TS6700 2004 System, Test He 国外
521 KOKUSAI VR-120SD KOKUSAI VR-120SD - Single Load por 国外
520 Mattson AST3000 RTP Mattson AST3000 2004 2Port Parts Mac 国外
519 Mattson AST3000plus RTP Mattson AST3000plus 2003 2 Port, 1xchamb 国外
518 ATE Advantest M6300 - 22.5mm device p 国外
517 AMAT Centura Avatar Etch AMAT应用材料 Centura Avatar - AVATAR 4x Chamb 国外
516 LAM Strip45 Chamber Etch LAM泛林 Strip45 Chamber 2010 Strip45 chamber 国外
515 LAM Strip45 Chamber Etch LAM泛林 Strip45 Chamber 2010 Strip45 chamber 国外
514 Mattson AST3000 RTP Mattson AST3000 2003 2 Port, 1xchamb 国外
513 LAM Strip45 Chamber Etch LAM泛林 Strip45 Chamber 2010 Strip45 chamber 国外
512 YOKOGAWA TS6700测试仪 YOKOGAWA TS6700 1995 Parts machine, 国外
511 YOKOGAWA TS6700测试仪 YOKOGAWA TS6700 2004 PM(8), Interf 国外
510 YOKOGAWA TS6700测试仪 YOKOGAWA TS6700 2004 PM(8), Interf 国外
509 ATEYOKOGAWA TS6700测试仪 YOKOGAWA TS6700 2004 PM(8), Interf 国外
508 YOKOGAWA TS6700测试仪 YOKOGAWA TS6700 2003 PM(8), UVI-A ca 国外
507 YOKOGAWA TS6700测试仪 YOKOGAWA TS6700 2004 PM(8), HRD*3 fa 国外
506 ASM Dragon 2300 CVD ASM Dragon 2300 2003 RC1: SiCx, RC2: 国外
505 NIKON OPTIPHOT 66 NIKON OPTIPHOT 66 - [As-is] Lens:x 国外
504 LAM(Novellus) Vector SOLA xT CVD LAM(Novellus) Vector SOLA xT 2011 UV Cure 国外
503 Component EBARA ESA25-D 2010 for MoCVD syste 国外
502 Component EBARA ESA25-D - for MoCVD syste 国外
501 Component EBARA ESA25-D 2008 for MoCVD syste 国外
500 Component EBARA ESA25-D 2010 for MoCVD syste 国外
499 Scanner Komatsu G20K4-1 2001 - 国外
498 Scanner Komatsu G20K4-1 2002 Part machine 国外
497 AMAT Endura CL PVD AMAT应用材料 Endura CL 2000 EFEM(2 Ports, K 国外
496 ASML XT1250D光刻机 ASML XT1250D 2004 1) Wafer 12inch 国外
495 ASML XT1700FI光刻机 ASML XT1700FI 2012 1700iP, uniform 国外
494 AMAT Centura Enabler Etch AMAT应用材料 Centura Enabler 2010 EFEM(NT, Fixed 国外
493 AMAT Centura eMax CT+ Etch AMAT应用材料 Centura eMax CT+ 2006 EFEM(Server, Si 国外
492 AMAT Centura eMax CT+ Etch AMAT应用材料 Centura eMax CT+ 2004 EFEM, TM, 3x eM 国外
491 CVD GES CAYMAN 2002 Cayman, 2Twin( 国外
490 AMAT Producer SE CVD AMAT应用材料 Producer SE 2007 ACL Process, 2 国外
489 LAM(Novellus) Vector CVD LAM(Novellus) Vector 2004 - 国外
488 ATE Electroglas EG2001X - - 国外
487 ATE Electroglas EG2001CX - - 国外
486 ATE Electroglas EG2001X - - 国外
485 ATE Electroglas EG2001X - - 国外
484 Nanometrics NanoSpec AFT 180 Nanometrics NanoSpec AFT 180 - - 国外
483 KLA Surfscan 2.1 KLA科磊 Surfscan 2.1 - - 国外
482 KLA MPV CD2 AMC KLA科磊 MPV CD2 AMC - - 国外
481 KLA MPV CD2 AMC KLA科磊 MPV CD2 AMC - - 国外
480 AMAT Orbot WF720 Metrology AMAT应用材料 Orbot WF720 - - 国外
479 KLA MPV-CD KLA科磊 MPV-CD - - 国外
478 KLA SFS7700 KLA科磊 SFS7700 - - 国外
477 KLA Ergolux KLA科磊 Ergolux - - 国外
476 KLA INM100+INS10 KLA科磊 INM100+INS10 - - 国外
475 Metrology Surftens Measurement - Measurement Sur 国外
474 ATE Electroglas EG2001X - - 国外
473 PVD UNAXIS LLS900 - LLS900 国外
472 ATE ICOS CI-5150 - - 国外
471 ATE Multitest MT9308 - Automatic stack 国外
470 ATE Multitest MT9308 - Automatic stack 国外
469 AXCELIS Microlite AXCELIS Microlite - - 国外
468 SVG 5204 Furnace SVG 5204 - - 国外
467 Component Star 2000 Primer - - 国外
466 AMAT AMC7811 RTP AMAT应用材料 AMC7811 1990 Epitaxy 国外
465 AMAT AMC7800RPX RTP AMAT应用材料 AMC7800RPX 1982 Epitaxy 国外
464 AMAT AMC7821 RTP AMAT应用材料 AMC7821 1983 epitaxy 国外
463 AMAT AMC7821 RTP AMAT应用材料 AMC7821 2001 OEM rebuild aug 国外
462 SVG 5204 Furnace SVG 5204 - - 国外
461 Electro Plate EBARA UFP-200/300M - 2 SET 国外
460 Chemical Magnet Pump TACMINA MG-16H-FTF-F-60-S - 1 SET 国外
459 GRINDER JINGLV ELECTRON - - 1 SET 国外
458 Wafer Back Grinder Okamoto VG502MK2 - 1 SET 国外
457 DISCO DTU1531冷水机 DISCO DTU1531 - 1 SET 国外
456 CHILLER Kanto Seiki U-FW-300A - 1 SET 国外
455 CHILLER DAWON DS 3000 - 1 SET 国外
454 CHILLER ????? HD-01A - 1 SET 国外
453 CHILLER Single SCO1 - 1 SET 国外
452 CHILLER Saw Incarnation SI-C10 - 1 SET 国外
451 CHILLER JEIO TECH RW-JT-50L - 1 SET 国外
450 CHILLER Daeho Daeho Auto Chiller - 1 SET 国外
449 CIRCULATOR JEIO TECH HX-55H - 1 SET 国外
448 ADT MW975 ADT(K&S) MW975 - 1 SET 国外
447 SPIN DRYER NEON TECH NSD-68 - 1 SET 国外
446 SPIN DRYER Rhetech P28SR11S-10001 - 1 SET 国外
445 SPIN DRYER Saw Incarnation SI-S12 - 1 SET 国外
444 SPIN DRYER VERTEQ 1600-55A - 1 SET 国外
443 WAFER CLEANER - NCS1200 - 1 SET 国外
442 HOUSING CLEANER - - - 1 SET 国外
441 PHOTO MASK SPINNER ATIS Co., Ltd PHOTO MASK SPINNER - 1 SET 国外
440 Spin Cleaning - - - 1 SET 国外
439 Auto Flux Cleaner THE SYSTEM TS_LAFW1000 - 1 SET 国外
438 DISCO DCS141清洗机 DISCO DCS141 - 1 SET 国外
437 CO2 BUBBLER NGK RC-1000A - 1 SET 国外
436 CO2 BUBBLER BOMYUNG CB-C-60 - 4 SET 国外
435 CO2 BUBBLER BOMYUNG CO2 BUBBLER - 1 SET 国外
434 CO2 BUBBLER NGK RC2000ACDS - 2 SET 国外
433 CO2 BUBBLER NGK RC1000ACDS - 1 SET 国外
432 CO2 BUBBLER NGK RC1000ACD - 2 SET 国外
431 CO2 BUBBLER NOMURA NDB3 - 1 SET 国外
430 CO2 BUBBLER NOMURA NDB4 - 1 SET 国外
429 CO2 BUBBLER NGK RC8000ACD - 1 SET 国外
428 DISCO DFD640切割机 DISCO DFD640 - 6 SET 国外
427 DISCO DFD650切割机 DISCO DFD650 - 1 SET 国外
426 DICING SAW SAW INCARNATION SI-D26 - 1 SET 国外
425 DISCO DAD522划片机 DISCO DAD522 - 3 SET 国外
424 DIE BONDER SHINKAWA SPA300Super - 2 SET 国外
423 DIE BONDER SHINKAWA SPA300 - 1 SET 国外
422 DIE BONDER SHINKAWA SPA400 - 7 SET 国外
421 DIE BONDER ASM AD830 - 4 SET 国外
420 DIE BONDER ASM AD838L - 1 SET 国外
419 ASM IS8912DA固晶机 ASM IS8912DA - 1 SET 国外
418 DIE BONDER ASM AD809 - 1 SET 国外
417 DIE BONDER ASM AD8930 - 5 SET 国外
416 VERTICAL DIE BONDER ASM AD8930V - 3 SET 国外
415 DIE BONDER PANASONIC DM60M-H - 2 SET 国外
414 ACF BONDER LST LAB1200 - 1 SET 国外
413 Hoson GS850-PL Hoson GS850-PL - 1 SET 国外
412 ACF BONDER Zeu Elex CM-100M - 1 SET 国外
411 ACF BONDER Zeu Elex CM-100B - 2 SET 国外
410 DIE BONDER ASM IS-600 - 1 SET 国外
409 DIE BONDER ASM AD8930UV - 1 SET 国外
408 DIE BONDER ROSWIN SUPER120 - 2 SET 国外
407 DIE BONDER ROSWIN SUPER121 - 2 SET 国外
406 DIE BONDER ROSWIN SUPER140 - 2 SET 国外
405 DIE BONDER Bright Lux DB388TL - 1 SET 国外
404 DIE BONDER ASM ISLINDA - 4 SET 国外
403 FLIP CHIP BONDER SHINKAWA COF300 - 7 SET 国外
402 FLIP CHIP BONDER SHINKAWA COF1000 - 1 SET 国外
401 FLIP CHIP BONDER SHIBAURA TFC-2100-02 - 2 SET 国外
400 FLIP CHIP BONDER TOP ENGINEERING TIS-3000 - 1 SET 国外
399 FLIP CHIP BONDER SHIBAURA TFC-3200H - 1 SET 国外
398 DIE SORTER LAURIER DS9000 - 1 SET 国外
397 DIE SORTER STI ISORT - 1 SET 国外
396 DIE SORTER STI duraSORT - 1 SET 国外
395 PICK AND PLACE DATACON Fito-F3100 - 1 SET 国外
394 PICK AND PLACE APTECH PP-R20 - 1 SET 国外
393 MUSASHI FAD2300 2S MUSASHI FAD2300 2S - 3 SET 国外
392 MUSASHI FAD2300 2L MUSASHI FAD2300 SL - 1 SET 国外
391 DISPENSER MUSASHI SM300S-3A - 1 SET 国外
390 DISPENSER MUSASHI FAD2200 - 1 SET 国外
389 DISPENSER MUSASHI FAD2200D - 1 SET 国外
388 DISPENSER PROTEC FDS-1000M - 1 SET 国外
387 DISPENSER PROTEC FDS-1000 - 1 SET 国外
386 DISPENSER PROTEC Innovation T - 1 SET 国外
385 DISPENSER PROTEC FDS-5000DM - 2 SET 国外
384 DISPENSER PROTEC FDS-5000M - 4 SET 国外
383 DISPENSER PROTEC Phantasm ( 4 head ) - 2 SET 国外
382 DISPENSER MUSASHI TAD-1000M - 1 SET 国外
381 DISPENSER ASYMTEK X-1020 - 2 SET 国外
380 DISPENSER PROTEC FDS-1000MS - 1 SET 国外
379 DISPENSER PROTEC PHANTASM-MSS - 1 SET 国外
378 Wafer Expander CS ENG CSX-W220 - 1 SET 国外
377 Wafer Expander CS ENG Wafer Expander System - 1 SET 国外
376 HARDNESS TESTER Mitutoyo HM-124 - 1 SET 国外
375 JT CORPORATION JAI-D300 JT CORPORATION JAI-D300 - 1 SET 国外
374 MACRO DEFECT INSPECTOR RUDOLPH NSX 320+SWS - 1 SET 国外

页次: 7 / 8页 每页:500 产品数:3873   9[1][2][3][4][5][6][7][8]: 总共有8页

龙玺精密-二手半导体设备买卖+翻新+非标定制 龙先生18868521984(微)

注:设备状态不定期更新,是否已售出请咨询。