2024-4-30二手设备列表
关键字  
首页 CANON NIKON DISCO ASML AMAT TEL LAM KLA SEMICS HITACHI YOKOGAWA
ID 设备名称 制造商 型号 年份 详细配置 状 态
1377 Metrology JEOL JWS-7515 1999 - 国外
1376 WET Pan Abrasives KS9090WB/SS4 - - 国外
1375 AG Associates Extraction, Amine AG Associates Extraction, Amine 2000 - 国外
1374 Component Thermo Fisher Scientific FD400 - - 国外
1373 Component Thermo Fisher Scientific FED720 - - 国外
1372 Nanometrics Q200I Nanometrics Q200I 2000 2 X Indexer 国外
1371 Component NCB Network NF200 2007 - 国外
1370 KLA Polylite 88 KLA科磊 Polylite 88 - - 国外
1369 Component Blue M DCC206CY - - 国外
1368 Temptronic TPO4000A-2B21-2 ATE Temptronic TPO4000A-2B21-2 2008 location : Sing 国外
1367 PKG Blue M DCC-1406CY 1995 Convection Bake 国外
1366 Semilab SPVCMS4000 Semilab SPVCMS4000 1995 - 国外
1365 Sonicar TS-12047HC Sonicar TS-12047HC - - 国外
1364 SMT UNIVERSAL PLASTICS Solvent Bench 1995 Heating of Flow 国外
1363 Metrology JEOL JWS-7555 2002 - 国外
1362 Metrology JEOL JWS-7555 2000 - 国外
1361 Furnace BTU TCAS 181-7-72-E-36 2001 Controlled Atmo 国外
1360 KARL SUSS XBC300晶圆键合机 KARL SUSS XBC300 - 300mm Wafer/ Gl 国外
1359 WET SCREEN SU-3100 2011 8 chambers BEOL 国外
1358 TEL Alpha-303i Furnace TEL Alpha-303i - VMM-56-002, 2 b 国外
1357 AMAT Centura Enabler Etch AMAT应用材料 Centura Enabler 2007 - 国外
1356 Component Adixen APR4300 2014 In crate, In wa 国外
1355 Component Adixen APR4300 2014 Unhooked. In wa 国外
1354 Advantest 83000 Advantest 83000 2000 Tester, F330t , 国外
1353 Advantest 83000 Advantest 83000 2000 Tester, F330t , 国外
1352 Agilent 81110A Agilent 81110A - - 国外
1351 KOKUSAI DJ-1206VN-DM KOKUSAI DJ-1206VN-DM 2006 - 国外
1350 TEL Alpha-303i Furnace TEL Alpha-303i - VMM-56-002, 2 b 国外
1349 TEL Alpha-303i Furnace TEL Alpha-303i - VMM-56-002, 2 b 国外
1348 TEL Alpha-303i Furnace TEL Alpha-303i - VMM-56-002, 2 b 国外
1347 Component KINIK BI2 - - 国外
1346 WET SCREEN SU-3100 2011 8 chambers sing 国外
1345 WET SCREEN SU-3100 2013 8 chambers sing 国外
1344 Jordan Valley JVX6200i Jordan Valley JVX6200i 2011 - 国外
1343 Metrology Toray HS-830 2010 - 国外
1342 TEL nFusion 700 Implant TEL nFusion 700 2013 - 国外
1341 PVD Novellus Inova NExT 2007 3ports, 4x Ti, 国外
1340 AXCELIS COMPACT II Furnace AXCELIS COMPACT II - - 国外
1339 WET Semitool Raider ECD310 2006 Capsule (Cleann 国外
1338 Metrology PSS AccuSizer APS 780 2006 - 国外
1337 WET Semitool Raider ECD310 2007 - 国外
1336 WET Semitool Raider ECD310 2007 - 国外
1335 Metrology Rudolph WV320 2007 - 国外
1334 Metrology Phoenix Micromex SE 160T 2009 Xray tool 国外
1333 KOKUSAI DD-1236VN-DF KOKUSAI DD-1236VN-DF - "need exact mod 国外
1332 KOKUSAI DD-1223VN KOKUSAI DD-1223VN - Single boat, CX 国外
1331 Furnace ASM A412 2011 2boats 国外
1330 Mattson Steag Etch Mattson Steag 2002 - 国外
1329 AMAT Centura Chamber Etch AMAT应用材料 Centura Chamber 2010 2 x Minos, 1 x 国外
1328 TEL Trias CVD TEL Trias 2010 - 国外
1327 AXCELIS Optima HDxT Implant AXCELIS Optima HDxT 2011 Axcelis Optima 国外
1326 Component Chiron XACT-830 - - 国外
1325 KLA Viper 2438 KLA科磊 Viper 2438 2010 - 国外
1324 KLA Tencor ES31晶圆检查系统 KLA科磊 ES31 2004 E-beam Inspecti 国外
1323 GEMETEC Elymat III GEMETEC Elymat III - - 国外
1322 AMAT NanoSEM 3D Metrology AMAT应用材料 NanoSEM 3D 2002 MULTIPLE UNITS 国外
1321 AMAT NanoSEM 3D Metrology AMAT应用材料 NanoSEM 3D 2002 ULTIPLE UNITS A 国外
1320 Multiprobe MP1 ATE Multiprobe MP1 2006 Condition Very 国外
1319 PVD Novellus Inova NExT 2015 3ports, 2x AlCu 国外
1318 Metrology HSEB AXIOSPECT 301 - - 国外
1317 Etch Shibaura CDE300 - Main mini envir 国外
1316 WET Semitool Raider SP 2006 - 国外
1315 KARL SUSS HVMMFT KARL SUSS HVMMFT - - 国外
1314 KARL SUSS HVMMFT KARL SUSS HVMMFT - - 国外
1313 Kinetic Systems Megapure 6001 HC Kinetic Systems Megapure 6001 HC - - 国外
1312 Component Adixen APR4300 2012 4 process chamb 国外
1311 AMAT Centura Enabler Chamber Etch AMAT应用材料 Centura Enabler Chamber 2004 Condition : Ver 国外
1310 AMAT Vantage Vulcan RTP AMAT应用材料 Vantage Vulcan 2013 2 Chamber RTP S 国外
1309 Metrology SOPRA EP12 - - 国外
1308 Advantest 83000 Advantest 83000 2000 Tester, F330t , 国外
1307 SemiProbe PS4L FA-12 ATE SemiProbe PS4L FA-12 2012 with FOUP capab 国外
1306 Track SCREEN DT-3000 2015 DUO 4 CUP + 12 国外
1305 TEL RLSA-H Chambers Etch TEL RLSA-H Chambers 2011 Dry Etch, Bx-, 国外
1304 Component E.A. Fischione Instruments 2040 - - 国外
1303 Waters 2695 Waters 2695 - - 国外
1302 Component Blue M DCC206CY - - 国外
1301 AMAT Producer GT Chamber CVD AMAT应用材料 Producer GT Chamber 2014 Parts Machine: 国外
1300 Mattson Helios RTP Mattson Helios 2007 - 国外
1299 Metrology FEI Ex-Situ Plucker 2009 - 国外
1298 HITACHI HF-2000电子显微镜 HITACHI HF-2000 1995 200KeV Advanced 国外
1297 PVD Novellus Inova NExT 2013 3ports, 2x TiN, 国外
1296 Etch Nippon Scientific PS102W - - 国外
1295 Metrology Carl Zeiss LEA1530 2002 FIELD EMISSION 国外
1294 Metrology FEI ExSolve 2 WTP EFEM 2017 Main system, Ha 国外
1293 Metrology FEI Ex-Situ Plucker 2008 - 国外
1292 Metrology JEOL ARM200CF Super X 2014 Main system 国外
1291 Component E.A. Fischione Instruments 1030 2005 System S/N: 14 国外
1290 ANCOSYS P13010 ANCOSYS P13010 2011 - 国外
1289 CHECKPOINT 300 TDE CHECKPOINT 300 TDE 2011 Top down OBIRCH 国外
1288 Metrology Hermes Microvision eP4 2017 - 国外
1287 HITACHI M-8190XT Etch HITACHI M-8190XT 2013 3 Chambers 国外
1286 Metrology Jordan Valley JVX7300 2012 TFM_THK_JV 国外
1285 LYNCEE TEC Holographic Microscope LYNCEE TEC Holographic Microscope 2012 - 国外
1284 TERADYNE Probe Card Interface TERADYNE Probe Card Interface 2011 - 国外
1283 TEL Tactras Vigus-0 Etch TEL Tactras Vigus-0 2010 NCCP 国外
1282 TEL Certas LEAGA Etch TEL Certas LEAGA 2016 In a line. SW V 国外
1281 Component VWR Scientific 1601 2011 - 国外
1280 AMAT Olympia CVD AMAT应用材料 Olympia 2015 2Ch ALD System, 国外
1279 KOKUSAI DJ-1206VN-DM KOKUSAI DJ-1206VN-DM - - 国外
1278 KOKUSAI DJ-1206VN-DM KOKUSAI DJ-1206VN-DM - - 国外
1277 AMAT ACMS XT II Component AMAT应用材料 ACMS XT II 2005 - 国外
1276 AMAT ACMS0XT-ASG-E Component AMAT应用材料 ACMS0XT-ASG-E 2006 - 国外
1275 AMAT UVision 5 Metrology AMAT应用材料 UVision 5 2011 - 国外
1274 AMAT UVision 5 Metrology AMAT应用材料 UVision 5 2012 300mm G1 Load P 国外
1273 Nanometrics Q200I Nanometrics Q200I 2002 2 X Indexer 国外
1272 LASERTEC BI100 LASERTEC BI100 2017 - 国外
1271 Metrology RORZE RSR160 2017 - 国外
1270 Component Brooks M1900 2018 - 国外
1269 MCC ABES-V ATE MCC ABES-V 2001 BI tool (ambien 国外
1268 MCC ABES-V ATE MCC ABES-V 2001 single slot scr 国外
1267 Track SCREEN DT-3000 2013 DUO 4 CUP + 12 国外
1266 AMAT Centura Carina Chamber Etch AMAT应用材料 Centura Carina Chamber - Chamber Only.
国外
1265 Micro Control Abes Memory PreScreener Micro Control Abes Memory PreScreener 2000 System S/N: 112 国外
1264 Micro Control Abes Memory PreScreener Micro Control Abes Memory PreScreener 1999 SoftwareVersion 国外
1263 Micro Control Abes Memory Test Tool Micro Control Abes Memory Test Tool 1999 2 Chambers, 16 国外
1262 Micro Control WRP256 ATE Micro Control WRP256 1999 2 Chamber 8 Slo 国外
1261 Micro Control WRP256 ATE Micro Control WRP256 1996 SoftwareVersion 国外
1260 Camtek X- ACT Metrology Camtek X- ACT 2012 - 国外
1259 Microscope Olympus PMG3 - - 国外
1258 Semilab PS-2000 Semilab PS-2000 2015 - 国外
1257 Component ABB IRB120 2016 - 国外
1256 Component Advenced Control Advenced Control 2001 - 国外
1255 Component Advenced Control Advenced Control 2006 MEE200/WET200 C 国外
1254 DISCO DFG821划片机 DISCO DFG821/F8 1993 - 国外
1253 Metrology Bruker Insight 3D 2017 AFM, Idle in a 国外
1252 Component Chemical Safety Technology, In Dual drum waste Cabinet - - 国外
1251 Metrology Met One 3313 - - 国外
1250 Component Revco ULT 2140-5- D30 -40C - - 国外
1249 PKG Royal Sovereign RSL-2702 - - 国外
1248 Component VWR Scientific 61161-326 - - 国外
1247 Component VWR Scientific 1610 - - 国外
1246 ENTEGRIS LPDF40SS4 ENTEGRIS LPDF40SS4 2019 - 国外
1245 KOKUSAI DD-1223V KOKUSAI DD-1223V 2014 DD-1223VN-DF/ Q 国外
1244 WET FSI ORION 2011 - 国外
1243 Component ESCO EHWS 8C - - 国外
1242 ASML XT1250B光刻机 ASML XT1250B 2005 - 国外
1241 Component Empire Abrasive Equipment PF-2632 M-02522 2006 Blaster leaks a 国外
1240 Track SCREEN DT-3000 2015 DUO 4 CUP + 12 国外
1239 WET FSI Antares 2002 - 国外
1238 WET Semitool Spectrum 300 2012 - 国外
1237 AXCELIS Summit 300XT RTP AXCELIS Summit 300XT 2003 - 国外
1236 Component Blue M RG-3010F-2 - - 国外
1235 Component Wilt Industries 4106 - - 国外
1234 Component Denton Infinity 18 2002 - 国外
1233 Micro Control WRP256 ATE Micro Control WRP256 1999 - 国外
1232 Metrology Carl Zeiss Axiotron-2 - - 国外
1231 Metrology Carl Zeiss Axiotron-2 - - 国外
1230 Component FEI Meridian-IV 2013 - 国外
1229 Component FSI PWB-48X38X64-3E 2011 - 国外
1228 Component FSI PWB-48X38X64-3E 2011 - 国外
1227 Component FSI PWB-48X38X64-3E 2011 - 国外
1226 AMAT endura2 dsttn chamber PVD AMAT应用材料 Endura 2 Chamber 2006 1x DSTTN 已售出
1225 Metrology FEI Ex-Situ Plucker 2011 - 国外
1224 WET DMS Tornado 200 2013 - 国外
1223 Akrion Component UP V2 MP.2000 Akrion UP V2 MP.2000 - Tool is sitting 国外
1222 AMAT NanoSEM 3D Metrology AMAT应用材料 NanoSEM 3D 2004 Installed. Ope 国外
1221 AMAT NanoSEM 3D Metrology AMAT应用材料 NanoSEM 3D 2004 Installed. Ope 国外
1220 AMAT NanoSEM 3D Metrology AMAT应用材料 NanoSEM 3D 2004 Installed. Ope 国外
1219 Extraction System Inc TMB RTM Extraction System Inc TMB RTM - - 国外
1218 Component Jackson Automation Storage Cabinet - - 国外
1217 Metrology Rudolph WV320 2005 - 国外
1216 Nanometrics Caliper Q300 Nanometrics Caliper Q300 2003 Overlay Measure 国外
1215 Nanometrics Caliper Q300 Nanometrics Caliper Q300 2003 SEM - Critical 国外
1214 Nanometrics Caliper Q300 Nanometrics Caliper Q300 2002 Nanometrics Cal 国外
1213 AMAT Producer GT CVD AMAT应用材料 Producer GT 2015 3 Chamber: 1x S 国外
1212 AMAT Producer GT CVD AMAT应用材料 Producer GT 2016 Frontier FRONTI 国外
1211 AMAT Producer GT CVD AMAT应用材料 Producer GT 2015 Frontier FRONTI 国外
1210 AMAT Producer GT CVD AMAT应用材料 Producer GT 2017 Frontier FRONTI 国外
1209 Ametek/Cameca EX300 Ametek/Cameca EX300 2011 Stranded, no po 国外
1208 Ametek/Cameca EX300 Ametek/Cameca EX300 2009 Shallow Probe M 国外
1207 Component FSI PWC-48X38X64-3E - Drying Oven 2011 - 国外
1206 PVD Novellus Inova XT 2003 6 Chambers 国外
1205 Component QCEPT QCEPT 2011 - 国外
1204 TEL Indy Irad Furnace TEL Indy Irad 2007 - 国外
1203 IMS XTS-FT ATE IMS XTS-FT 1998 - 国外
1202 ASM Epsilon 3200 ASM Epsilon 3200 2005 CVD 国外
1201 Nanometrics Caliper Q300 Nanometrics Caliper Q300 2002 Installed 国外
1200 Component Hologenix MTX 2000/2/MIS SLIPBAY 2001 - 国外
1199 Packaging Systems Entry Conveyor Packaging Systems Entry Conveyor 2018 - 国外
1198 Metrology M&W Products IPRO 7 2012 for KLA IPRO7 国外
1197 Micro Lithography 7002 ATE Micro Lithography 7002 1995 - 国外
1196 Component Akrion UP V2 MP.2000 1994 Main system 1, 国外
1195 Estion E-RETICLE V 4M Estion E-RETICLE V 4M 2010 - 国外
1194 KLA DP2 KLA科磊 DP2 2012 DP2 Data Prep S 国外
1193 Component Kurita Unknown 2012 REGAS UNITS (X2 国外
1192 Component True Refrigerator S-72-SCI-HC 2017 - 国外
1191 Component True Refrigerator TS-72-SCI-HC 2000 - 国外
1190 Component Air Liquide Fabstream III 2019 SiH4 国外
1189 Component PMS Surfex200 2013 - 国外
1188 Component Polycom ISX309 2015 - 国外
1187 Component Polycom Studio ISX321 2014 - 国外
1186 ASML XT1700Gi光刻机 ASML XT1700Gi 2006 - 国外
1185 WET FSI ORION 2005 - 国外
1184 Mosaid MS4205 ATE Mosaid MS4205 - 200/400MHz, 16x 国外
1183 Component Micro Control Abes IV - Condition : Fai 国外
1182 Component Micro Control WRP64 - Condition : Fai 国外
1181 Kinetic Systems Megapure 6001 HC Kinetic Systems Megapure 6001 HC - Component 国外
1180 Component MKS AX8559 - - 国外
1179 Mattson TiW Etch Tool Component Mattson TiW Etch Tool 2002 Wet Etch tool, 国外
1178 Component Control Air D-9-L-SM-UM-MOD 184 - D-9-L-SM-UM LIF 国外
1177 TEL Precio octo ATE TEL Precio octo 2017 - 国外
1176 Metrology Rudolph S3000S 2011 - 国外
1175 Metrology Rudolph S3000SX 2011 - 国外
1174 Metrology Phoenix micromex 160 2007 - 国外
1173 AMAT Octane G2 assy Component AMAT应用材料 Octane G2 assy 1999 - 国外
1172 AMAT Octane G2 assy Component AMAT应用材料 Octane G2 assy 1999 - 国外
1171 Chiron DESTIN EM ATE Chiron DESTIN EM 2000 - 国外
1170 Chiron DESTIN EM ATE Chiron DESTIN EM 2001 - 国外
1169 Chiron XPEQT EM ATE Chiron XPEQT EM 2001 - 国外
1168 Chiron XPEQT EM ATE Chiron XPEQT EM 2002 - 国外
1167 Chiron XPEQT EM ATE Chiron XPEQT EM 2002 - 国外
1166 Chiron XPEQT EM ATE Chiron XPEQT EM 2002 - 国外
1165 Metrology Jordan Valley BedeMetrix-F 2006 Missing Parts . 国外
1164 Chiron DESTIN EM ATE Chiron DESTIN EM 2000 - 国外
1163 WET SCREEN FC-3000 2005 - 国外
1162 WET SCREEN FC-3100 2007 6 baths 国外
1161 PVD Novellus Inova 2014 MDX83x, underut 国外
1160 LAM(Novellus) Vector Express CVD LAM(Novellus) Vector Express 2011 CVD34x, underut 国外
1159 LAM(Novellus) Vector Express CVD LAM(Novellus) Vector Express 2011 - 国外
1158 WET Semitool Spectrum - - 国外
1157 TEL Trias CVD TEL Trias 2006 - 国外
1156 TEL Trias CVD TEL Trias 2006 - 国外
1155 TEL Trias CVD TEL Trias 2006 - 国外
1154 KOKUSAI DJ-1206VN-DM KOKUSAI DJ-1206VN-DM 2005 - 国外
1153 Nanometrics Caliper Nanometrics Caliper 2001 Connected. 国外
1152 Metrology Nova T600 2014 - 国外
1151 TEL LITHIUS i Track TEL LITHIUS i 2005 Missing parts 国外
1150 RIGAKU 3272 RIGAKU 3272 2005 - 国外
1149 AXCELIS XT300 Furnace AXCELIS XT300 2001 - 国外
1148 Metrology VEECO Dimension X3D 2006 System S/N : 14 国外
1147 Metrology FEI CLM 3D 2011 - 国外
1146 CVD Novellus C3 Speed chms 2002 - 国外
1145 Kinetic Systems MB 331-FDD Kinetic Systems MB 331-FDD 2014 - 国外
1144 CMP Sinfornia SELOP12F25-S7A0021 2014 - 国外
1143 Perkin Elmer AANALYST 600 ATE Perkin Elmer AANALYST 600 2002 - 国外
1142 LTX CREDENCE D10 LTX CREDENCE D10 2007 - 国外
1141 Synax SX141 ATE Synax SX141 2005 - 国外
1140 Component ESPEC ST-120 1998 - 国外
1139 Component UVP C-600 2001 - 国外
1138 Temptronic TP04000A-2B21-2 ATE Temptronic TP04000A-2B21-2 2015 - 国外
1137 MOSAID MS348001 MOSAID MS348001 2007 - 国外
1136 Thermonix T2500IX/ION/E ATE Thermonix T2500IX/ION/E 2003 - 国外
1135 Synax SX141 ATE Synax SX141 2005 - 国外
1134 Component Agilent E8510C 2001 - 国外
1133 Component Agilent E8510C 2001 - 国外
1132 Component Agilent E8510C 2001 - 国外
1131 Component Agilent E8510C 2001 - 国外
1130 CVD ASM Polygon P8300 2009 - 国外
1129 Component Litrolin SOLB-5M2 2000 - 国外
1128 Metrology FEI 300 2004 - 国外
1127 Etch POLYFLOW S314 1987 - 国外
1126 TEL Air Dryers Others TEL Air Dryers 2000 - 国外
1125 TEL Air Dryers Others TEL Air Dryers 2001 - 国外
1124 TEL D214 Component TEL D214 2001 - 国外
1123 Nanometrics Caliper Q300 Nanometrics Caliper Q300 2003 Accent Optical, 国外
1122 ASML XT1700FI光刻机 ASML XT1700FI 2009 - 国外
1121 CVD ASM Polygon P8300 2009 - 国外
1120 LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2006 - 国外
1119 Micro Handling MP933 ATE Micro Handling MP933 2006 - 国外
1118 TEL P-12XLn TEL P-12XLn 2005 - 国外
1117 TEL P-12XLn+ ATE TEL P-12XLn+ 2006 - 国外
1116 Component Unknown Unknown 1986 Customised stor 国外
1115 Metrology VEECO Dimension X3D 2002 - 国外
1114 Micro Handling MP933 ATE Micro Handling MP933 2006 - 国外
1113 Micro Handling MP933 ATE Micro Handling MP933 2006 - 国外
1112 TEL P-12XLn TEL P-12XLn 2005 Missing parts i 国外
1111 TEL P-12XLn+ ATE TEL P-12XLn+ 2006 Missing parts i 国外
1110 TEL P-12XLn+ ATE TEL P-12XLn+ 2006 Missing parts i 国外
1109 TEL P-12XLn+ ATE TEL P-12XLn+ 2006 Missing parts i 国外
1108 TEL P-12XLn+ ATE TEL P-12XLn+ 2006 Missing parts i 国外
1107 TEL P-12XLn+ ATE TEL P-12XLn+ 2006 Missing parts i 国外
1106 TEL P-12XLn+ ATE TEL P-12XLn+ 2006 Missing parts i 国外
1105 TEL P-12XLn+ ATE TEL P-12XLn+ 2006 Missing parts i 国外
1104 TEL P-12XLn+ ATE TEL P-12XLn+ 2006 Missing parts i 国外
1103 TEL P-12XLn+ ATE TEL P-12XLn+ 2006 Missing parts i 国外
1102 TEL P-12XLn+ ATE TEL P-12XLn+ 2006 Missing parts i 国外
1101 TEL P-12XLn+ ATE TEL P-12XLn+ 2006 Missing parts i 国外
1100 ASML XT1250D光刻机 ASML XT1250D 2005 - 国外
1099 CANON C-7100GT PVD CANON C-7100GT 2007 - 国外
1098 LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2007 - 国外
1097 LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2007 - 国外
1096 LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2008 - 国外
1095 LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2007 - 国外
1094 LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2008 - 国外
1093 LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2007 - 国外
1092 LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2007 - 国外
1091 LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2007 - 国外
1090 LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2007 - 国外
1089 LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2006 - 国外
1088 LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2008 - 国外
1087 LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2007 - 国外
1086 LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2006 - 国外
1085 LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2007 - 国外
1084 LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2008 - 国外
1083 LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2007 - 国外
1082 LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2008 - 国外
1081 LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2007 - 国外
1080 LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2008 - 国外
1079 LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2008 - 国外
1078 LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2006 - 国外
1077 LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2008 - 国外
1076 LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2008 - 国外
1075 Star Gemini Component STAR Technologies Star Gemini 2014 - 国外
1074 TEL P-12XLn+ ATE TEL P-12XLn+ 2006 - 国外
1073 TEL P-12XLn+ ATE TEL P-12XLn+ 2006 - 国外
1072 TEL P-12XLn+ ATE TEL P-12XLn+ 2006 - 国外
1071 Stepper Ultratech AP300 2006 - 国外
1070 Mattson Helios RTP Mattson Helios 2007 - 国外
1069 CANON FC7102 PVD CANON FC7102 2005 - 国外
1068 ATE Electroglas EG2001X 2011 - 国外
1067 ATE Micro Handling MP933 1985 - 国外
1066 ATE Micro Handling MP933 2006 - 国外
1065 ATE Micro Handling MP933 2006 - 国外
1064 ATE Micro Handling MP933 2006 - 国外
1063 ATE Micro Handling MP933 2006 - 国外
1062 TEL P-12XLn+ ATE TEL P-12XLn+ 2006 - 国外
1061 TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
1060 TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
1059 TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
1058 TEL P-12XLn+ ATE TEL P-12XLn+ 2005 - 国外
1057 TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
1056 TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
1055 TEL P-12XLn+ ATE TEL P-12XLn+ 2006 - 国外
1054 TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
1053 TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
1052 TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
1051 TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
1050 TEL P-12XLn+ ATE TEL P-12XLn+ 2008 - 国外
1049 TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
1048 TEL P-12XLn+ ATE TEL P-12XLn+ 2008 - 国外
1047 TEL P-12XLn+ ATE TEL P-12XLn+ 2008 - 国外
1046 TEL P-12XLn+ ATE TEL P-12XLn+ 2008 - 国外
1045 TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
1044 TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
1043 TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
1042 TEL P-12XLn+ ATE TEL P-12XLn+ 2008 - 国外
1041 TEL P-12XLn+ ATE TEL P-12XLn+ 2008 - 国外
1040 TEL P-12XLn+ ATE TEL P-12XLn+ 2008 - 国外
1039 TEL P-12XLn+ ATE TEL P-12XLn+ 2008 - 国外
1038 TEL P-12XLn+ ATE TEL P-12XLn+ 2008 - 国外
1037 TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
1036 TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
1035 TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
1034 TEL P-12XLn+ ATE TEL P-12XLn+ 2006 - 国外
1033 TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
1032 TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
1031 TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
1030 TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
1029 TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
1028 TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
1027 TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
1026 TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
1025 LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2007 - 国外
1024 LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2007 - 国外
1023 Metrology Carl Zeiss Axiotron-2 - Condition : Not 国外
1022 Mattson Helios RTP Mattson Helios - System S/N : 20 国外
1021 Component MKS Liquozone PrimO3 - Ozone / O3 / Ge 国外
1020 Component VWR Scientific 1601 - - 国外
1019 Component VWR Scientific 1601 - - 国外
1018 Component RORZE RV201-F05-202CE-1 2011 - 国外
1017 ACCRETECH UF3000探针台 ACCRETECH东京精密 UF3000 2004 Right Single Lo 国外
1016 ACCRETECH UF3000探针台 ACCRETECH东京精密 UF3000 2007 Right Single Lo 国外
1015 ACCRETECH UF3000探针台 ACCRETECH东京精密 UF3000 2008 Right Single Lo 国外
1014 ULVAC ZX-1000-PVD叶片式溅射设备 ULVAC爱发科 ZX-1000 1996 Reflow x 1, Ti/ 国外
1013 ULVAC ZX-1000-PVD叶片式溅射设备 ULVAC爱发科 ZX-1000 1996 Reflow x 1, Ti/ 国外
1012 ULVAC ZX-1000-PVD叶片式溅射设备 ULVAC爱发科 ZX-1000 2011 Reflow x 1, Ti/ 国外
1011 ULVAC ZX-1000-PVD叶片式溅射设备 ULVAC爱发科 ZX-1000 1995 Reflow x 1, Ti/ 国外
1010 TEL MBB-830 PVD TEL MBB-830 1995 TiN 3CH(Cryo_CT 国外
1009 TEL MBB-830 PVD TEL MBB-830 1995 TiN 3CH(Cryo_CT 国外
1008 AMAT Endura CL PVD AMAT应用材料 Endura CL 2004 EFEM(2Ports, Ke 国外
1007 AMAT Endura CL PVD AMAT应用材料 Endura CL 2004 EFEM(2Ports, Ke 国外
1006 CANON FC7100 PVD CANON FC7100 2011 1x PC, 3x PVD ( 国外
1005 PVD Novellus Inova 1999 TM, 2x PVD, 1x 国外
1004 PVD Novellus Inova Chamber 2012 2x Cu chambers, 国外
1003 PVD Novellus Inova Chamber 2012 2x Cu chambers, 国外
1002 Component Brooks MTX4000 2004 OS_Window NT, L 国外
1001 NIKON NSR 2205EX14C光刻机 NIKON NSR-2205EX14C 2001 6"wafer, FTHEX1 国外
1000 CANON FPA-5500iZ+ CANON FPA-5500iZ+ 2005 R), Fab out (Jan. 2019)">Uniformity(2.71 国外
999 TEL LITHIUS Track TEL LITHIUS 2006 2C5D, Inlined t 国外
998 ATE Advantest T5593 2003 Board 6? (???? 国外
997 CANON FPA-6000 ES5 CANON FPA-6000 ES5 2003 6" Reticle(SMIF 国外
996 KARL SUSS MA200光刻机 KARL SUSS MA200 1995 8" Holder , 2x 国外
995 KARL SUSS MA200光刻机 KARL SUSS MA200 1992 8" Holder , 2x 国外
994 NIKON NES1-H04缩小投影曝光装置 NIKON NES1-H04 2011 Main body, In/o 国外
993 CANON FPA-6000ES6a CANON FPA-6000ES6a 2007 L), Cymer Laser(ELS-7310). Fab out (Jan. 2019)">Uniformity(1.81 国外
992 HITACHI RS4000 HITACHI RS4000 2007 [As-is] 2port ( 国外
991 HITACHI RS4000 HITACHI RS4000 2005 [As-is] 2port ( 国外
990 HITACHI IS3200SE HITACHI IS3200SE 2010 3port(TDK TAS30 国外
989 HITACHI RS6000 HITACHI RS6000 2014 Size L*W*H(mm) 国外
988 KLA NANOMAPPER KLA科磊 NANOMAPPER 2006 [As-is] 2x Open 国外
987 Nanometrics Caliper Mosaic Nanometrics Caliper Mosaic 2011 [As-is] 2x Load 国外
986 Nanometrics Caliper Elan Nanometrics Caliper Elan 2005 [As-is] 2port(B 国外
985 Nanometrics Caliper Mosaic Nanometrics Caliper Mosaic 2009 [As-is] 3x Load 国外
984 Nanometrics Caliper Mosaic Nanometrics Caliper Mosaic 2009 [As-is] 2xLoad 国外
983 Nanometrics Caliper Mosaic Nanometrics Caliper Mosaic 2009 [As-is]Overlay, 国外
982 Nanometrics Caliper Mosaic Nanometrics Caliper Mosaic 2011 [As-is] 3ports( 国外
981 Nanometrics Caliper Mosaic Nanometrics Caliper Mosaic 2011 [As-is] 2ports( 国外
980 Metrology Rudolph Axi-S 2005 2 Ports (Brooks 国外
979 Metrology Rudolph Axi-S 2005 [As-is] 2x Broo 国外
978 Metrology Rudolph NSX105 2004 [As-is]2x Load 国外
977 Metrology Rudolph NSX105 2003 [As-is]2x Load 国外
976 Rudolph 3Di8500 Rudolph 3Di8500 2008 [As-is] Genmark 国外
975 Metrology JEOL JEM3200FS 2006 [As-is] TEM 国外
974 Component Brooks MTX2000 2004 OS_Window NT, L 国外
973 HITACHI HD2300 HITACHI HD2300 2006 TEM, EDX option 国外
972 Metrology VEECO Dimension X3D 2006 [As-is] 1Port(A 国外
971 AMATUVision 5 Metrology AMAT应用材料 UVision 5 2012 2port(TDK TAS30 国外
970 Metrology Horiba PR-PD2 2005 [As-is] 6 Retic 国外
969 AMATUVision 4 Metrology AMAT应用材料 UVision 4 2009 [As-is]2ea*TDK 国外
968 AMATUVision 4 Metrology AMAT应用材料 UVision 4 - Parts Sale Avai 国外
967 KLA HRP-340 KLA科磊 HRP-340 2004 2port(Asyst ISO 国外
966 KLA EDR-5210电子束缺陷再检测 KLA科磊 EDR-5210 - 2x Load port( B 国外
965 KLA EDR-5210电子束缺陷再检测 KLA科磊 EDR-5210 2010 2xLoad port(Bro 国外
964 KLA Puma 9130晶圆检测系统 KLA科磊 Puma 9130 2005 [As-is] 2ea*Loa 国外
963 KLA Puma 9000晶圆检测系统 KLA科磊 Puma 9000 2005 [As-is] Handler 国外
962 KLA WI-2280晶圆检测机台 KLA科磊 WI-2280 - - 国外
961 KLA WI-2280晶圆检测机台 KLA科磊 WI-2280 - - 国外
960 KLA AIT UV KLA科磊 AIT UV 2003 - 国外
959 TEL Alpha-303i-K Furnace TEL Alpha-303i-K 2006 1. GFC : N2, N2 国外
958 TEL Alpha-303i-K Furnace TEL Alpha-303i-K 2003 GFC (N2, SiH4, 国外
957 TEL Alpha-303i-K Furnace TEL Alpha-303i-K 2003 Process: D-Poly 国外
956 TEL Indy-B Furnace TEL Indy-B 2012 Indy-B-L, MFC(N 国外
955 TEL Alpha-303i-K Furnace TEL Alpha-303i-K 2005 GFC(N2, NH3, Si 国外
954 TEL Indy-A Furnace TEL Indy-A 2005 GAS (N2, NH3, S 国外
953 TEL Alpha-303i-K Furnace TEL Alpha-303i-K 2004 1. GFC : N2, N2 国外
952 TEL Alpha-303i-K Furnace TEL Alpha-303i-K 2005 GFC(SiH4,0.1%PH 国外
951 NIKON OCDM 300MM NIKON OCDM 300MM 2010 BU: eWLB, Tool 国外
950 Asher PSK Tera 21 2008 EFEM: ATM RORZE 国外
949 HITACHI DM421P刻蚀设备 HITACHI DM421P 1995 - 国外
948 Etch BMR ICP Etcher 2009 GaN 国外
947 Etch BMR ICP Etcher 2009 GaN 国外
946 Mattson Aspen 3 Lite Asher Mattson Aspen 3 Lite 2006 3 Load Ports, 2 国外
945 Mattson Aspen 3 Lite Asher Mattson Aspen 3 Lite 2006 EFEM, TM, 3LP, 国外
944 AXCELIS Integra Etch AXCELIS Integra 2012 EFEM, TM, 2x PM 国外
943 Mattson ParadigmE Etch Mattson ParadigmE 2012 1x PM and AC Ra 国外
942 Mattson ParadigmE XP Etch Mattson ParadigmE XP 2010 EFEM, 2x twin P 国外
941 Asher PSK Tera 21 2006 EFEM, TM, 2x PM 国外
940 Asher PSK Tera 21 2007 EFEM, TM, 2x Tw 国外
939 Asher PSK Tera 21 2007 EFEM, TM, 2x PM 国外
938 Novellus Gamma2130 Novellus Gamma2130 2005 2x PM, EFEM, GH 国外
937 Semilab FAaST 230 Semilab FAaST 230 2005 FAaST 230 Brook 国外
936 Semilab FAaST330A Semilab FAaST330A 2004 FAaST 330A, Mis 国外
935 HITACHI CG4000扫描电子显微镜 HITACHI CG4000 2011 [Power-on] Main 国外
934 TEL ACT8 Dual Track TEL ACT8 Dual 2013 4C4D, Inline ty 国外
933 ATE Seiko Epson NS8160LS 2012 HDD Missing / S 国外
932 TEL LITHIUS Track TEL LITHIUS 2006 2C5D, Inlined t 国外
931 TEL LITHIUS Track TEL LITHIUS 2006 2C5D, Inlined t 国外
930 TEL LITHIUS Track TEL LITHIUS 2006 2C5D, Inlined t 国外
929 TEL LITHIUS Track TEL LITHIUS 2005 2C5D, Inlined t 国外
928 TEL LITHIUS Track TEL LITHIUS 2007 2C5D, Inlined t 国外
927 TEL LITHIUS Track TEL LITHIUS 2006 2C5D, Inlined t 国外
926 TEL LITHIUS Track TEL LITHIUS 2007 2C5D, Inlined t 国外
925 TEL LITHIUS Track TEL LITHIUS 2005 2C5D, Inlined t 国外
924 TEL LITHIUS Track TEL LITHIUS 2005 2C5D, Inlined t 国外
923 WET SCREEN WS-820L - CHCL, SPM1, SPM 国外
922 WET SCREEN SU-3100 2009 Single process, 国外
921 WET SCREEN SU-3100 2012 Process : PR St 国外
920 TEL Cellesta-i WET TEL Cellesta-i 2012 Single wet tool 国外
919 WET SEZ RST201 1996 (Hooked, Cold) 国外
918 Ebara F-REX300S2 CMP EBARA F-REX300S2 2009 EFEM, Polisher, 国外
917 CMP SCREEN AS2000 2000 In-let type, Ch 国外
916 TERADYNE IP750EX测试系统 TERADYNE IP750EX 2001 HEAD(Modified f 国外
915 AMAT Centura DPS2 532 Metal Etch AMAT应用材料 Centura DPS2 532 Metal 2006 EFEM(Yaskawa), 国外
914 AMAT Endura 2 PVD AMAT应用材料 Endura 2 2004 EFEM, TM, 2x PC 国外
913 AMAT Producer SE CVD AMAT应用材料 Producer SE 2003 2 Twiin( HF_Ape 国外
912 AMAT Producer SE CVD AMAT应用材料 Producer SE 2007 3Twin ACL(HF an 国外
911 KNS ICONN PKG KNS ICONN 2013 ConnX LED->ICon 国外
910 KNS ICONN PKG KNS ICONN 2015 ConnX LED->ICon 国外
909 KNS ICONN PKG KNS ICONN 2015 ConnX LED->ICon 国外
908 KNS ICONN PKG KNS ICONN 2014 ConnX LED->ICon 国外
907 KNS ICONN PKG KNS ICONN 2014 ConnX LED->ICon 国外
906 KNS ICONN PKG KNS ICONN 2013 ConnX LED->ICon 国外
905 PKG ASM AD830 - - 国外
904 NISSIN Exceed 3000AH NISSIN日新 Exceed 3000AH - - 国外
903 HITACHI S-5500扫描电子显微镜 HITACHI S-5500 - Preparing for p 国外
902 AMAT Producer GT CMP AMAT应用材料 Reflexion Desica 2003 Polisher STD, D 国外
901 PKG LINTEC RAD-3500F/8 2003 BG Tape Laminat 国外
900 WET Semitool Raider ECD310 2009 4 x Capsule, 2 国外
899 NIKON NSR S609B浸入式扫描光刻机 NIKON NSR-S609B - Deinstalled by 国外
898 Verigy Ag93000 C400E ATE Verigy Ag93000 C400E - No Missing.
LT
国外
897 Verigy Ag93000 C400E ATE Verigy Ag93000 C400E - Some parts miss 国外
896 KOKUSAI RAM-8500ZX KOKUSAI RAM-8500ZX 1996 Batch Asher, Tw 国外
895 PKG MAT MAT507BW 2011 BU: TSV, Tool C 国外
894 PKG Duocom FeinFocus 2014 BU: WLB, Tool C 国外
893 PKG ESPEC IPHH-201M 2000 BU: WB, Tool Co 国外
892 PKG ESPEC IPHH-201M 2000 BU: WB, Tool Co 国外
891 PKG ESPEC IPHH-201M 2000 BU: WB, Tool Co 国外
890 PKG ESPEC IPHH-201M 2000 BU: WB, Tool Co 国外
889 ACCRETECH ML300FH探针台 ACCRETECH东京精密 ML300FH 2011 BU: TSV, Tool C 已售出
888 DEK WLM6200 DEK WLM6200 2006 BU: TD WL, Tool 国外
887 SMT MINAMI MK-838SV 2005 BU: TD WL, Tool 国外
886 AMAT Centura DPS2 Poly Etch AMAT应用材料 Centura DPS2 Poly 2007 EFEM, TM, 3x DP 国外
885 AMAT Centura DPS2 Poly Etch AMAT应用材料 Centura DPS2 Poly 2006 EFEM, TM, 3x DP 国外
884 AMAT Centura DPS2 Poly Etch AMAT应用材料 Centura DPS2 Poly 2006 EFEM(Kawasaki, 国外
883 VEECO K465颗粒过滤器 VEECO K465 - CVD 国外
882 VEECO K465颗粒过滤器 VEECO K465 - CVD 国外
881 VEECO K465颗粒过滤器 VEECO K465 - CVD 国外
880 Hanwha SP1-C SMT Hanwha SP1-C 2016 (New) 1 Gantry 国外
879 SMT Famecs FNBS-800-SAD-NE 2012 - 国外
878 SMT Mirtec MV-8VDH 2013 10M Pixel Camer 国外

页次: 6 / 8页 每页:500 产品数:3877   9[1][2][3][4][5][6][7][8]: 总共有8页

龙玺精密-二手半导体设备买卖+翻新+非标定制 龙先生18868521984(微)

注:设备状态不定期更新,是否已售出请咨询。