ID |
设备名称 |
制造商 |
型号 |
年份 |
详细配置 |
状 态 |
1641 |
甘肃龙玺精密-NIKON NSR 2205EX14C光刻机出售 |
NIKON |
NSR-2205EX14C |
1999 |
PHOTOLITHO |
国外
|
1640 |
甘肃龙玺精密-NIKON NSR 4425i光刻机出售 |
NIKON |
NSR-4425i |
1997 |
PHOTOLITHO |
国外
|
1639 |
甘肃龙玺精密-NuFlare Technology HT2000B出售 |
NuFlare Technology |
HT2000B |
2007 |
CVD |
国外
|
1638 |
甘肃龙玺精密-OKAMOTO SPP-600S GRIND出售 |
OKAMOTO |
SPP-600S GRIND |
1998 |
CMP |
国外
|
1637 |
甘肃龙玺精密-SUPERCRITICAL RINSER&DRYER出售 |
Rexxam |
SCRD6 |
2008 |
WET |
国外
|
1636 |
甘肃龙玺精密-Rudolph S200ETCH出售 |
Rudolph |
S200ETCH |
2000 |
METROLOGY |
国外
|
1635 |
甘肃龙玺精密-SCREEN AS2000出售 |
SCREEN |
AS2000 |
1998 |
WET |
国外
|
1634 |
甘肃龙玺精密-SCREEN AS2000出售 |
SCREEN |
AS2000 |
1998 |
WET |
国外
|
1633 |
甘肃龙玺精密-SCREEN AS2000出售 |
SCREEN |
AS2000 |
2000 |
WET |
国外
|
1632 |
甘肃龙玺精密-RTA出售 |
SCREEN |
LA-830 |
- |
RTP |
国外
|
1631 |
甘肃龙玺精密-SCREEN SK-80BW-AVQ出售 |
SCREEN |
SK-80BW-AVQ |
1998 |
PHOTOLITHO |
国外
|
1630 |
甘肃龙玺精密-WAFER SCRUBBER出售 |
SCREEN |
SS-W80A-AR |
1995 |
WET |
国外
|
1629 |
甘肃龙玺精密-WAFER SCRUBBER出售 |
SCREEN |
SS-W80A-AR |
2000 |
WET |
国外
|
1628 |
甘肃龙玺精密-WAFER SCRUBBER出售 |
SCREEN |
SS-W80A-AVR |
1998 |
WET |
国外
|
1627 |
甘肃龙玺精密-WAFER SCRUBBER出售 |
SCREEN |
SS-W80A-AVR |
2002 |
WET |
国外
|
1626 |
甘肃龙玺精密-FILM THICKNESS MEASUREMENT出售 |
SOPRA |
GESP5 |
2010 |
METROLOGY |
国外
|
1625 |
甘肃龙玺精密-MO CVD出售 |
TAIYO NIPPON SANSO |
SR64212HKS |
2010 |
CVD |
国外
|
1624 |
甘肃龙玺精密-AUTOMATIC VISUAL INSPECTION出售 |
TAKANO (TOPCON) |
Vi-4202 |
2004 |
METROLOGY |
国外
|
1623 |
甘肃龙玺精密-TAKANO WM-5000出售 |
TAKANO(TOPCON) |
WM-5000 |
2005 |
METROLOGY |
国外
|
1622 |
甘肃龙玺精密-TAKATORI AMR-2200G出售 |
TAKATORI |
AMR-2200G |
- |
BACK GRIND |
国外
|
1621 |
甘肃龙玺精密-TEL ACT8(2C2D)涂胶显影机出售 |
TEL |
ACT8 |
1998 |
PHOTOLITHO |
国外
|
1620 |
甘肃龙玺精密-TEL Alpha-8SE DIFFUSION出售 |
TEL |
Alpha-8SE |
2005 |
DIFFUSION |
国外
|
1619 |
甘肃龙玺精密-TEL IW-6C FURNACE_LP-CVD出售 |
TEL |
IW-6C |
1994 |
FURNACE |
国外
|
1618 |
甘肃龙玺精密-TEL MARK7涂胶显影机出售 |
TEL |
MARK7 |
1993 |
WET |
国外
|
1617 |
甘肃龙玺精密-TEL MARK8涂胶显影机出售 |
TEL |
MARK8 |
1999 |
PHOTOLITHO |
国外
|
1616 |
甘肃龙玺精密-TEL MARK-V涂胶显影机出售 |
TEL |
MARK-V |
1992 |
PHOTOLITHO |
国外
|
1615 |
甘肃龙玺精密-TEL MARK-VZ涂胶显影机出售 |
TEL |
MARK-VZ |
1998 |
PHOTOLITHO |
国外
|
1614 |
甘肃龙玺精密-TEL P-12XL出售 |
TEL |
P-12XL |
2002 |
TEST |
国外
|
1613 |
甘肃龙玺精密-TEL P-12XL出售 |
TEL |
P-12XL |
2002 |
TEST |
国外
|
1612 |
甘肃龙玺精密-TEL P-12XLn出售 |
TEL |
P-12XLn |
2006 |
TEST |
国外
|
1611 |
甘肃龙玺精密-TEL P-8XL出售 |
TEL |
P-8XL |
2000 |
TEST |
国外
|
1610 |
甘肃龙玺精密-TEL P-8XL出售 |
TEL |
P-8XL |
2004 |
TEST |
国外
|
1609 |
甘肃龙玺精密-TEL P-8XL出售 |
TEL |
P-8XL |
2008 |
TEST |
国外
|
1608 |
甘肃龙玺精密-TEL SS-4出售 |
TEL |
SS-4 |
2001 |
WET |
国外
|
1607 |
甘肃龙玺精密-TEL SS-4出售 |
TEL |
SS-4 |
2003 |
WET |
国外
|
1606 |
甘肃龙玺精密-TEL TACTRAS出售 |
TEL |
TACTRAS |
2013 |
ETCH |
国外
|
1605 |
甘肃龙玺精密-TEL TE5000ATC出售 |
TEL |
TE5000ATC |
1992 |
ETCH |
国外
|
1604 |
甘肃龙玺精密-TEL TE8500(S)出售 |
TEL |
TE8500(S) |
2000 |
ETCH |
国外
|
1603 |
甘肃龙玺精密-TEL TE8500(S)ATC出售 |
TEL |
TE8500(S)ATC |
1992 |
ETCH |
国外
|
1602 |
甘肃龙玺精密-TEL TE8500(S)ATC出售 |
TEL |
TE8500(S)ATC |
1993 |
ETCH |
国外
|
1601 |
甘肃龙玺精密-TEL TE8500(S)ATC出售 |
TEL |
TE8500(S)ATC |
1995 |
ETCH |
国外
|
1600 |
甘肃龙玺精密-TEL TE8500ATC出售 |
TEL |
TE8500ATC |
1995 |
ETCH |
国外
|
1599 |
甘肃龙玺精密-TEL Telius SCCM出售 |
TEL |
Telius SCCM |
2000 |
ETCH |
国外
|
1598 |
甘肃龙玺精密-TEL Unity Me 85D出售 |
TEL |
Unity Me 85D |
2003 |
ETCH |
国外
|
1597 |
甘肃龙玺精密-TEL UnityII-855II出售 |
TEL |
UnityII-855II |
1996 |
ETCH |
国外
|
1596 |
甘肃龙玺精密-TEL UnityII-855II出售 |
TEL |
UnityII-855II |
1996 |
ETCH |
国外
|
1595 |
甘肃龙玺精密-TEL UnityIIe-655II出售 |
TEL |
UnityIIe-655II |
2002 |
ETCH |
国外
|
1594 |
甘肃龙玺精密-TEL UnityII-855II出售 |
TEL |
UnityIIe-855II |
1997 |
ETCH |
国外
|
1593 |
甘肃龙玺精密-TEL UnityII-855II出售 |
TEL |
UnityIIe-855II |
2002 |
ETCH |
国外
|
1592 |
甘肃龙玺精密-TEL UnityIIe-855SS出售 |
TEL |
UnityIIe-855SS |
2000 |
ETCH |
国外
|
1591 |
甘肃龙玺精密-TEL UnityIIe-855SS出售 |
TEL |
UnityIIe-855SS |
2006 |
ETCH |
国外
|
1590 |
甘肃龙玺精密-ASHER出售 |
TOK |
TCA-3822 |
1995 |
ASHER |
国外
|
1589 |
甘肃龙玺精密-ASHER出售 |
TOK |
TCA-3822 |
1995 |
ASHER |
国外
|
1588 |
甘肃龙玺精密-OXIDE ETCHER出售 |
TOK |
TCE-3822 |
- |
ETCH |
国外
|
1587 |
甘肃龙玺精密-OXIDE ETCHER出售 |
TOK |
TCE-3822 |
- |
ETCH |
国外
|
1586 |
甘肃龙玺精密-AUTOMATIC VISUAL INSPECTION出售 |
TORAY |
INSPECTRA-3000TR200M |
2015 |
METROLOGY |
国外
|
1585 |
甘肃龙玺精密-ULTRATECH Saturn-SS3出售 |
ULTRATECH |
Saturn-SS3 |
2001 |
PHOTOLITHO |
国外
|
1584 |
甘肃龙玺精密-ULVAC EI-7L蒸发台出售 |
ULVAC爱发科 |
EI-7L |
- |
PVD |
国外
|
1583 |
甘肃龙玺精密-USHIO PE-250R2HK出售 |
USHIO |
PE-250R2HK |
- |
PHOTOLITHO |
国外
|
1582 |
甘肃龙玺精密-USHIO PE-250T2HM出售 |
USHIO |
PE-250T2HM |
- |
PHOTOLITHO |
国外
|
1581 |
甘肃龙玺精密-USHIO UMA-1002-HC933HD激光扫描仪出售 |
USHIO |
UMA-1002-HC933HD |
1998 |
PHOTOLITHO |
国外
|
1580 |
甘肃龙玺精密-LDI设备 3波长(wavelength)/6HEAD出售 |
SCREEN |
- |
2019 |
Photo Solder |
国外
|
1579 |
甘肃龙玺精密-LDI设备 405波长 (wavelength)出售 |
ADTECH |
- |
2016 |
Resist |
国外
|
1578 |
甘肃龙玺精密-LDI设备 3波长(wavelength)/5HEAD出售 |
SCREEN |
- |
2018 |
DF |
国外
|
1577 |
甘肃龙玺精密-LDI设备 多波长(multi wavelength)出售 |
overtech |
- |
2014 |
PSR/DF |
国外
|
1576 |
甘肃龙玺精密-真空层圧设备出售 |
LEETEK |
- |
2014 |
DF |
国外
|
1575 |
甘肃龙玺精密-自动露光机/平行光出售 |
Hakuto |
- |
2009 |
DF |
国外
|
1574 |
甘肃龙玺精密-自动露光机/平行光出售 |
Hakuto |
- |
2007 |
DF |
国外
|
1573 |
甘肃龙玺精密-自动露光机/平行光出售 |
ORC |
- |
2004 |
DF |
国外
|
1572 |
甘肃龙玺精密-HITACHI DF层压设备出售 |
HITACHI |
DF层压设备 |
- |
PSR/DF |
国外
|
1571 |
甘肃龙玺精密-PSR全自动印刷机出售 |
SERIA |
- |
2005 |
DF |
国外
|
1570 |
甘肃龙玺精密-PSR悬挂干燥机出售 |
BMI |
- |
2005 |
PSR |
国外
|
1569 |
甘肃龙玺精密-PSR半自动4轴机出售 |
SERIA |
- |
2016 |
PSR |
国外
|
1568 |
甘肃龙玺精密-PSR半自动4轴机出售 |
SDK' |
- |
2018 |
PSR MARKING |
国外
|
1567 |
甘肃龙玺精密-PSR半自动4轴机出售 |
SDK' |
- |
2017 |
PSR MARKING |
国外
|
1566 |
甘肃龙玺精密-PSR半自动4轴机出售 |
minongmt |
- |
2010 |
PSR MARKING |
国外
|
1565 |
甘肃龙玺精密-PSR隧道式预干燥机出售 |
SMUV |
- |
2020 |
PSR MARKING |
国外
|
1564 |
甘肃龙玺精密-PSR预处理喷射线出售 |
SIE |
- |
2007 |
PSR |
国外
|
1563 |
甘肃龙玺精密-PSR现像机出售 |
Taesung |
- |
2009 |
PSR |
国外
|
1562 |
甘肃龙玺精密-PSR最终干燥机出售 |
Taeyang |
- |
1999 |
PSR |
国外
|
1561 |
甘肃龙玺精密-PSR UV干燥机出售 |
SMUV |
- |
2020 |
PSR |
国外
|
1560 |
甘肃龙玺精密-箱式干燥机出售 |
SMUV |
- |
2020 |
PSR |
国外
|
1559 |
甘肃龙玺精密-自动搬送Conveyor出售 |
Hansong |
- |
2018 |
PSR |
国外
|
1558 |
甘肃龙玺精密-DES(Development Etching Strip )Line出售 |
- |
- |
- |
AUTOMATION |
国外
|
1557 |
甘肃龙玺精密-2 Chamber)/Flexible or Rigid 対応出售 |
Camellia |
- |
2014 |
PATTEN |
国外
|
1556 |
甘肃龙玺精密-DES(Development Etching Strip )Line出售 |
- |
- |
- |
PATTEN |
国外
|
1555 |
甘肃龙玺精密-2 Chamber)/Rigid 対応出售 |
Camellia |
- |
2007 |
PATTEN |
国外
|
1554 |
甘肃龙玺精密-DES(Development Etching Strip )Line出售 |
- |
- |
- |
PATTEN |
国外
|
1553 |
甘肃龙玺精密-4 Chamber)/Flexible or Rigid 対応出售 |
NTP |
- |
2011 |
PATTEN |
国外
|
1552 |
甘肃龙玺精密-DES(Development Etching Strip )Line出售 |
- |
- |
- |
PATTEN |
国外
|
1551 |
甘肃龙玺精密-4 Chamber)/Rigid 対応出售 |
SMC |
- |
2007 |
LAYER |
国外
|
1550 |
甘肃龙玺精密-Half Etching(电镀后)出售 |
Taesung |
- |
2011 |
LAYER |
国外
|
1549 |
甘肃龙玺精密-氧化物(Sheet RTR兼用)出售 |
DUC |
- |
2017 |
LAYER |
国外
|
1548 |
甘肃龙玺精密-外形加工(切断)出售 |
Daliang |
- |
2013 |
LAYER |
国外
|
1547 |
甘肃龙玺精密-2 轴 X-RAY Drill Machine出售 |
Hansong |
- |
2013 |
LAYER |
国外
|
1546 |
甘肃龙玺精密-2 轴 X-RAY Drill Machine出售 |
Hansong |
- |
2012 |
LAYER |
国外
|
1545 |
甘肃龙玺精密-SUS 前处理装置出售 |
Taesung |
- |
2012 |
LAYER |
国外
|
1544 |
甘肃龙玺精密-自动真空成形装置(6段)出售 |
Fusei |
- |
1999 |
LAYER |
国外
|
1543 |
甘肃龙玺精密-自动真空成形装置(6段)出售 |
Fusei |
- |
2006 |
LAYER |
国外
|
1542 |
甘肃龙玺精密-冷压液压机(6段)出售 |
Fusei |
- |
1999 |
COATING |
国外
|
1541 |
甘肃龙玺精密-圧力机出售 |
Fusei |
- |
1999 |
COATING |
国外
|
1540 |
甘肃龙玺精密-Brown Oxide出售 |
Keystone |
- |
2011 |
COATING |
国外
|
1539 |
甘肃龙玺精密-2次Lay up设备出售 |
ESSENTEK |
- |
2005 |
COATING |
国外
|
1538 |
甘肃龙玺精密-VF电镀装置/15,000张出售 |
TKC |
- |
2009 |
COATING |
国外
|
1537 |
甘肃龙玺精密-VF电镀装置/15,000张出售 |
TKC |
- |
2011 |
COATING |
国外
|
1536 |
甘肃龙玺精密-4轴前处理机出售 |
Taesung |
- |
2009 |
COATING |
国外
|
1535 |
甘肃龙玺精密-2轴去毛刺设备出售 |
Taesung |
- |
2012 |
HARF MACHINE |
国外
|
1534 |
甘肃龙玺精密-水平去污设备出售 |
Keystone |
- |
- |
HARF MACHINE |
国外
|
1533 |
甘肃龙玺精密-Black Hole Machine出售 |
Keystone |
- |
- |
SPS |
国外
|
1532 |
甘肃龙玺精密-Shield Press Machine出售 |
DINGA |
- |
2012 |
RELIABILITY |
国外
|
1531 |
甘肃龙玺精密-Shield Press Machine出售 |
VISION KOREA |
- |
2016 |
RELIABILITY |
国外
|
1530 |
甘肃龙玺精密-PCB BONDING Machine出售 |
Hansong |
- |
2003 |
RELIABILITY |
国外
|
1529 |
甘肃龙玺精密-3次元测定器出售 |
MicroVu |
- |
2018 |
- |
国外
|
1528 |
甘肃龙玺精密-2010/2009出售 |
- |
- |
- |
- |
国外
|
1527 |
甘肃龙玺精密-3次元测定器出售 |
MicroVu |
- |
2005 |
- |
国外
|
1526 |
甘肃龙玺精密-电镀测厚仪出售 |
SEIKO |
- |
2012 |
- |
国外
|
1525 |
甘肃龙玺精密-Plasma Therm Versaline ICP-RIE #2 PSS IC出售 |
Plasma |
Therm Versaline ICP-RIE #2 PSS |
- |
As-is |
国外
|
1524 |
甘肃龙玺精密-AMSL XT760F KrF Scanner出售 |
AMSL |
XT760F KrF Scanner |
- |
As-is |
国外
|
1523 |
甘肃龙玺精密-EBARA EPO 222 CMP出售 |
EBARA |
EPO-222 CMP |
- |
As-is |
国外
|
1522 |
甘肃龙玺精密-Oxford Plasmalab System 100 RIE+PECVD出售 |
OXFORD牛津 |
Plasmalab System 100 RIE+PECVD |
- |
As-is |
国外
|
1521 |
甘肃龙玺精密-DNS SU3200出售 |
DNS |
SU3200 |
- |
As-is |
国外
|
1520 |
甘肃龙玺精密-LAM RAINBOW 4420等离子刻蚀机出售 |
LAM泛林 |
RAINBOW 4420 |
- |
6" As-is |
国外
|
1519 |
甘肃龙玺精密-KLA Tencor 2552缺陷数据分析处理仪出售 |
KLA科磊 |
2552 |
- |
As-is |
国外
|
1518 |
甘肃龙玺精密-USHIO UX-4440 Aligner出售 |
USHIO |
UX-4440 Aligner |
- |
As-is |
国外
|
1517 |
甘肃龙玺精密-CHA Revolution出售 |
CHA |
Revolution |
- |
As-is |
国外
|
1516 |
甘肃龙玺精密-ULVAC EX W300多腔溅射设备出售 |
ULVAC爱发科 |
EX W300 |
- |
As-is Multi-ch(8ch)Sp |
国外
|
1515 |
甘肃龙玺精密-ULVAC EI-7K蒸发台出售 |
ULVAC爱发科 |
EI-7K |
- |
As-is |
国外
|
1514 |
甘肃龙玺精密-ULVAC EI-5K蒸发台出售 |
ULVAC爱发科 |
EI-5K |
- |
As-is |
国外
|
1513 |
甘肃龙玺精密-ULVAC Chamber(5CH)出售 |
ULVAC爱发科 |
Chamber(5CH) |
- |
12" As-is |
国外
|
1512 |
甘肃龙玺精密-EBARA F-REX300S CMP出售 |
EBARA |
F-REX300S CMP |
- |
12" FULL REPUB |
国外
|
1511 |
甘肃龙玺精密-Maxis 300LA ICP出售 |
Maxis |
300LA ICP |
- |
12" As-is |
国外
|
1510 |
甘肃龙玺精密-Nippon Sanso出售 |
Nippon |
Sanso |
- |
6" As-is |
国外
|
1509 |
甘肃龙玺精密-Aixtron Crius II MOCVD设备出售 |
Aixtron |
Crius II |
- |
As-is |
国外
|
1508 |
甘肃龙玺精密-DISCO DFD641划片机出售 |
DISCO |
DFD641 |
- |
8"Working |
国外
|
1507 |
甘肃龙玺精密-Oxford Plasma Pro NGP1000出售 |
OXFORD牛津 |
Plasma Pro NGP1000 |
- |
12" As-is |
国外
|
1506 |
甘肃龙玺精密-AMAT Centura DPS+ Poly Etch出售 |
AMAT应用材料 |
Centura DPS+ Poly Etch |
- |
As-is |
国外
|
1505 |
甘肃龙玺精密-AMAT Producer-GT CVD出售 |
AMAT应用材料 |
Producer-GT CVD |
- |
As-is |
国外
|
1504 |
甘肃龙玺精密-AMAT mirra MESA CMP出售 |
AMAT应用材料 |
mirra MESA CMP |
- |
FULL REPUB |
国外
|
1503 |
甘肃龙玺精密-AMAT P5000刻蚀机出售 |
AMAT应用材料 |
P5000 |
- |
8" REFURB |
国外
|
1502 |
甘肃龙玺精密-AMAT P5000刻蚀机出售 |
AMAT应用材料 |
P5000 |
- |
FULL REPUB |
国外
|
1501 |
甘肃龙玺精密-HITACHI HL7800M出售 |
HITACHI |
HL7800M |
- |
As-is |
国外
|
1500 |
甘肃龙玺精密-HITACHI HL8000M出售 |
HITACHI |
HL8000M |
- |
As-is |
国外
|
1499 |
甘肃龙玺精密-HITACHI S-4800扫描电子显微镜出售 |
HITACHI |
S4800 |
- |
working |
国外
|
1498 |
甘肃龙玺精密-HITACHI FESEM S4700 II扫描电子显微镜出售 |
HITACHI |
FESEM S4700 II |
- |
FULL REPUB |
国外
|
1497 |
甘肃龙玺精密-HITACHI FESEM S4700 II扫描电子显微镜出售 |
HITACHI |
FESEM S4700 II |
- |
Working |
国外
|
1496 |
甘肃龙玺精密-HITACHI S-9260A扫描电子显微镜出售 |
HITACHI |
S-9260A |
- |
8" FULL REPUB CDSEM |
国外
|
1495 |
甘肃龙玺精密-TEL P-12XL Probe出售 |
TEL |
P-12XL Probe |
- |
12" As-is |
国外
|
1494 |
甘肃龙玺精密-TEL MARK-VZ涂胶显影机出售 |
TEL |
MARK-VZ |
- |
As-is 2C2D |
国外
|
1493 |
甘肃龙玺精密-TEL ACT8 2C4D, Double Block出售 |
TEL |
ACT8 2C4D, Double Block |
- |
working |
国外
|
1492 |
甘肃龙玺精密-TEL MARK8涂胶显影机出售 |
TEL |
MARK8 |
- |
working track (2c1d) |
国外
|
1491 |
甘肃龙玺精密-TEL MARK7涂胶显影机出售 |
TEL |
MARK7 |
- |
200 As-is Single Block |
国外
|
1490 |
甘肃龙玺精密-TEL MARK8涂胶显影机出售 |
TEL |
MARK8 |
- |
200 As-is Single Block |
国外
|
1489 |
甘肃龙玺精密-TEL ACT12 Single Block出售 |
TEL |
ACT12 Single Block |
- |
300 As-is |
国外
|
1488 |
甘肃龙玺精密-TEL ACT8 Single Block出售 |
TEL |
ACT8 Single Block |
- |
200 As-is |
国外
|
1487 |
甘肃龙玺精密-CANON FPA-3000i4步进式光刻机出售 |
CANON |
FPA-3000i4 |
- |
Working |
国外
|
1486 |
甘肃龙玺精密-NIKON NSR S205C光刻机出售 |
NIKON |
NSR-S205C |
- |
As-is |
国外
|
1485 |
甘肃龙玺精密-NIKON NSR SF200光刻机出售 |
NIKON |
NSR-SF200 |
2003 |
Working |
国外
|
1484 |
甘肃龙玺精密-NIKON NSR 207D光刻机出售 |
NIKON |
NSR-207D |
- |
working |
国外
|
1483 |
甘肃龙玺精密-NIKON NSR 2205i12D光刻机出售 |
NIKON |
NSR-2205i12D |
- |
Initial Condition |
国外
|
1482 |
甘肃龙玺精密-NIKON NSR 2005i9C步进式光刻机出售 |
NIKON |
NSR-2005i9C |
- |
Working |
国外
|
1481 |
甘肃龙玺精密-NIKON NSR 2205 EX12B光刻机出售 |
NIKON |
NSR-2205EX12B |
- |
As-is |
国外
|
1480 |
甘肃龙玺精密-NIKON NSR 1505G7E光刻机出售 |
NIKON |
NSR-1505G7E |
- |
6" Working |
国外
|
1479 |
甘肃龙玺精密-NIKON NSR 2205i12D光刻机出售 |
NIKON |
NSR-2205i12D |
- |
6"reticle(SMIF), Inlin |
国外
|
1478 |
甘肃龙玺精密-NIKON NSR 2005i9C步进式光刻机出售 |
NIKON |
NSR-2005i9C |
- |
- |
国外
|
1477 |
甘肃龙玺精密-NIKON NSR 2205 EX12B光刻机出售 |
NIKON |
NSR-2205EX12B |
- |
Available Dec, 2021, w |
国外
|
1476 |
甘肃龙玺精密-NIKON NSR 1505G7E光刻机出售 |
NIKON |
NSR-1505G7E |
- |
w/cymer laser, w/SMIF |
国外
|
1475 |
甘肃龙玺精密-Advantest T5375 ATE出售 |
Advantest |
T5375 |
- |
single head with PM op |
国外
|
1474 |
甘肃龙玺精密-KARL SUSS MA200光刻机出售 |
KARL SUSS |
MA200 |
1989 |
8" Holder , 2x Optic L |
国外
|
1473 |
甘肃龙玺精密-AMAT Centura DPS2 Metal出售 |
AMAT应用材料 |
Centura DPS2 Metal |
2005 |
EFEM(NT, Yaskawa), 3x |
国外
|
1472 |
甘肃龙玺精密-AMAT Centura Axiom Chamber出售 |
AMAT应用材料 |
Centura Axiom Chamber |
2006 |
Axiom Only (w/VODM) |
国外
|
1471 |
甘肃龙玺精密-Mattson Helios RTP出售 |
Mattson |
Helios |
2009 |
3x TDK TAS300 Load por |
国外
|
1470 |
甘肃龙玺精密-Mattson Helios RTP出售 |
Mattson |
Helios |
2004 |
1. Chamber Qty. =2 2. |
国外
|
1469 |
甘肃龙玺精密-Mattson Helios RTP出售 |
Mattson |
Helios |
2004 |
3x TDK TAS300 Load por |
国外
|
1468 |
甘肃龙玺精密-Mattson Helios RTP出售 |
Mattson |
Helios |
2005 |
3x TDK TAS300 Load por |
国外
|
1467 |
甘肃龙玺精密-Agilent 4073B ATE出售 |
Agilent |
4073B |
2005 |
48Pin / SMU:HRSMU(x2), |
国外
|
1466 |
甘肃龙玺精密-Agilent 4073A ATE出售 |
Agilent |
4073A |
2001 |
32pin(of 32pins, 4pins |
国外
|
1465 |
甘肃龙玺精密-Agilent 4073B ATE出售 |
Agilent |
4073B |
2011 |
48pin, SMU7[MPSMU(4), |
国外
|
1464 |
甘肃龙玺精密-AMAT P5000刻蚀机出售 |
AMAT应用材料 |
P5000 |
1988 |
CVD Mark1, 3x DLH |
国外
|
1463 |
甘肃龙玺精密-TEL LITHIUS Pro-i出售 |
TEL |
LITHIUS Pro-i |
2007 |
9COT 3DEV with many CC |
国外
|
1462 |
甘肃龙玺精密-TEL LITHIUS涂胶显影机出售 |
TEL |
LITHIUS |
2007 |
5C5D, Inlined type(AT- |
国外
|
1461 |
甘肃龙玺精密-AMAT Reflexion FA出售 |
AMAT应用材料 |
Reflexion FA |
2005 |
CMP |
国外
|
1460 |
甘肃龙玺精密-KARL SUSS CBC200出售 |
KARL SUSS |
CBC200 |
2013 |
Cluster Frame, Process |
国外
|
1459 |
甘肃龙玺精密-TERADYNE MAGNUM2X 2x GVLC出售 |
TERADYNE |
MAGNUM2X 2x GVLC |
- |
Frame Only. No board i |
国外
|
1458 |
甘肃龙玺精密-TERADYNE MAGNUM2X SSV出售 |
TERADYNE |
MAGNUM2X SSV |
- |
[Missing indicated] : |
国外
|
1457 |
甘肃龙玺精密-KARL SUSS CB200M出售 |
KARL SUSS |
CB200M |
2012 |
Process chamber, Trans |
国外
|
1456 |
甘肃龙玺精密-AMAT Vantage 5出售 |
AMAT应用材料 |
Vantage 5 |
2012 |
RTP |
国外
|
1455 |
甘肃龙玺精密-KLA Viper 2435出售 |
KLA科磊 |
Viper 2435 |
2006 |
- |
国外
|
1454 |
甘肃龙玺精密-KLA Viper 2438出售 |
KLA科磊 |
Viper 2438 |
2008 |
- |
国外
|
1453 |
甘肃龙玺精密-TERADYNE IP750测试系统出售 |
TERADYNE |
IP750 |
2000 |
512ch head(1), ws(COMP |
国外
|
1452 |
甘肃龙玺精密-TERADYNE IP750测试系统出售 |
TERADYNE |
IP750 |
2000 |
512ch head(1), ws(PFU |
国外
|
1451 |
甘肃龙玺精密-TERADYNE IP750测试系统出售 |
TERADYNE |
IP750 |
2000 |
512ch head(1), ws(COMP |
国外
|
1450 |
甘肃龙玺精密-TERADYNE IP750EP测试系统出售 |
TERADYNE |
IP750EP |
2002 |
512ch head(1), ws(PFU |
国外
|
1449 |
甘肃龙玺精密-TERADYNE IP750测试系统出售 |
TERADYNE |
IP750 |
2000 |
Power conditioner(1ea, |
国外
|
1448 |
甘肃龙玺精密-TERADYNE UltraFLEX出售 |
TERADYNE |
UltraFLEX |
2011 |
Z800(W/S)36 slot Testh |
国外
|
1447 |
甘肃龙玺精密-TERADYNE J750EX测试系统出售 |
TERADYNE |
J750EX |
2015 |
1024ch size Large TH, |
国外
|
1446 |
甘肃龙玺精密-TERADYNE IP750EX测试系统出售 |
TERADYNE |
IP750EX |
2001 |
HEAD(Modified from J75 |
国外
|
1445 |
甘肃龙玺精密-TERADYNE J750测试系统出售 |
TERADYNE |
J750 |
2004 |
韩国 Main, Head, W/S(XW8 |
国外
|
1444 |
甘肃龙玺精密-SMT出售 |
Famecs |
FMBL-200AND-SHE |
2013 |
- |
国外
|
1443 |
甘肃龙玺精密-TERADYNE IP750测试系统出售 |
TERADYNE |
IP750 |
2000 |
512ch head(1), ws(PFU |
国外
|
1442 |
甘肃龙玺精密-TERADYNE IP750S测试系统出售 |
TERADYNE |
IP750S |
- |
512ch head(1), ws(PFU |
国外
|
1441 |
甘肃龙玺精密-PKG出售 |
Musashi |
AWATRON2 AW-MV310 |
2013 |
Vacuum Pump : 2Torr, 1 |
国外
|
1440 |
甘肃龙玺精密-NIKON N-SIS超分辨率显微镜出售 |
NIKON |
N-SIS 5 |
- |
- |
国外
|
1439 |
甘肃龙玺精密-NIKON N-SIS超分辨率显微镜出售 |
NIKON |
N-SIS 5 |
- |
- |
国外
|
1438 |
甘肃龙玺精密-NIKON N-SIS超分辨率显微镜出售 |
NIKON |
N-SIS 5 |
- |
- |
国外
|
1437 |
甘肃龙玺精密-NIKON N-SIS超分辨率显微镜出售 |
NIKON |
N-SIS 5 |
- |
- |
国外
|
1436 |
甘肃龙玺精密-NIKON N-SIS超分辨率显微镜出售 |
NIKON |
N-SIS 5 |
- |
- |
国外
|
1435 |
甘肃龙玺精密-TEL Trias CVD出售 |
TEL |
Trias |
2004 |
4 x CVD TiN, 3 x load |
国外
|
1434 |
甘肃龙玺精密-TEL Trias CVD出售 |
TEL |
Trias |
2011 |
Trias E+, UV RF CH x3 |
国外
|
1433 |
甘肃龙玺精密-TEL Trias CVD出售 |
TEL |
Trias |
2006 |
Ti Ch x2, TiN Ch x2, S |
国外
|
1432 |
甘肃龙玺精密-TEL Trias CVD出售 |
TEL |
Trias |
2012 |
3CH |
国外
|
1431 |
甘肃龙玺精密-TEL Trias CVD出售 |
TEL |
Trias |
2013 |
EXII ALD TiN 1CH Syste |
国外
|
1430 |
甘肃龙玺精密-TEL Trias SPA CVD出售 |
TEL |
Trias SPA |
2010 |
LM+TM+AC Rack, Missing |
国外
|
1429 |
甘肃龙玺精密-ACCRETECH UF3000探针台出售 |
ACCRETECH东京精密 |
UF3000 |
2007 |
Right Single Loader, H |
国外
|
1428 |
甘肃龙玺精密-AXCELIS RapidCure 320FC Track出售 |
AXCELIS |
RapidCure 320FC |
2007 |
- |
国外
|
1427 |
甘肃龙玺精密-NITTO HR8500II撕膜机出售 |
NITTO |
HR8500II |
2002 |
5,6,8" Universal Chuck |
国外
|
1426 |
甘肃龙玺精密-AMAT Centura DPS2 Metal出售 |
AMAT应用材料 |
Centura DPS2 Metal |
2005 |
EFEM(Server, Yaskawa), |
国外
|
1425 |
甘肃龙玺精密-AMAT Producer GT CVD出售 |
AMAT应用材料 |
Producer GT |
2011 |
3 Twin(HARP USG, RPC_F |
国外
|
1424 |
甘肃龙玺精密-AMAT Producer GT Chamber CVD出售 |
AMAT应用材料 |
Producer GT Chamber |
2010 |
1 Twin CH(ACL) only |
国外
|
1423 |
甘肃龙玺精密-KLA Spectra FX200薄膜量测出售 |
KLA科磊 |
FX200 |
2006 |
[Power-on] 2port(TDK), |
国外
|
1422 |
甘肃龙玺精密-Micromanipulator 9000-VIT出售 |
Micromanipulator |
9000-VIT |
2005 |
- |
国外
|
1421 |
甘肃龙玺精密-TEL TSP 305 SCCM TE Etch出售 |
TEL |
TSP 305 SCCM TE |
2007 |
3x TE configured |
国外
|
1420 |
甘肃龙玺精密-Component出售 |
Blue M |
DCC-206-EV-ST350 |
1999 |
- |
国外
|
1419 |
甘肃龙玺精密-EBARA EST 300出售 |
EBARA |
EST-300 |
- |
- |
国外
|
1418 |
甘肃龙玺精密-EBARA EST200WN出售 |
EBARA |
EST-200WN |
- |
- |
国外
|
1417 |
甘肃龙玺精密-Metrology出售 |
VLSI Standard |
PDS-100 |
2000 |
Particle Counters in M |
国外
|
1416 |
甘肃龙玺精密-Advantest 83000 ATE出售 |
Advantest |
83000 |
2000 |
- |
国外
|
1415 |
甘肃龙玺精密-Advantest 83000 ATE出售 |
Advantest |
83000 |
- |
- |
国外
|
1414 |
甘肃龙玺精密-Advantest 83000 ATE出售 |
Advantest |
83000 |
- |
- |
国外
|
1413 |
甘肃龙玺精密-Metrology出售 |
VEECO |
V220SI |
- |
- |
国外
|
1412 |
甘肃龙玺精密-Component出售 |
ITS |
Single Mix Tank |
- |
- |
国外
|
1411 |
甘肃龙玺精密-Electroglas EG2001X ATE出售 |
Electroglas |
EG2001X |
- |
- |
国外
|
1410 |
甘肃龙玺精密-Component出售 |
Blue M |
RG-3010F-2 |
- |
System S/N: R2-606 |
国外
|
1409 |
甘肃龙玺精密-Steag ElectroDep 2000 Etch出售 |
Steag |
ElectroDep 2000 |
2000 |
- |
国外
|
1408 |
甘肃龙玺精密-AG Associates Steag Etch出售 |
AG Associates |
Steag |
- |
- |
国外
|
1407 |
甘肃龙玺精密-Component出售 |
Oryx |
M65X |
- |
- |
国外
|
1406 |
甘肃龙玺精密-Component出售 |
Oryx |
M65X |
- |
- |
国外
|
1405 |
甘肃龙玺精密-Electroglas EG4090u ATE出售 |
Electroglas |
EG4090u |
1999 |
Tool was functional wh |
国外
|
1404 |
甘肃龙玺精密-Electroglas EG4090u ATE出售 |
Electroglas |
EG4090u |
1999 |
Tool was functional wh |
国外
|
1403 |
甘肃龙玺精密-Electroglas EG4090u ATE出售 |
Electroglas |
EG4090u |
1999 |
Tool was functional wh |
国外
|
1402 |
甘肃龙玺精密-Electroglas EG4090u ATE出售 |
Electroglas |
EG4090u |
2004 |
Tool was functional wh |
国外
|
1401 |
甘肃龙玺精密-Metrology出售 |
PMS |
Lasair 110 |
2013 |
- |
国外
|
1400 |
甘肃龙玺精密-WET出售 |
GTX |
Wet Bench |
- |
- |
国外
|
1399 |
甘肃龙玺精密-Kinetic Systems 9101-21-21出售 |
Kinetic Systems |
9101-21-21 |
1994 |
- |
国外
|
1398 |
甘肃龙玺精密-Component出售 |
Akrion |
UP V2 MP.2000 |
- |
Bagged & Skidded in wa |
国外
|
1397 |
甘肃龙玺精密-Component出售 |
Thermo Fisher Scientific |
FED720 |
- |
- |
国外
|
1396 |
甘肃龙玺精密-Component出售 |
Feedmatic |
Vacuum Sealer |
1997 |
- |
国外
|
1395 |
甘肃龙玺精密-Component出售 |
Akrion |
UP V2 MP.2000 |
1999 |
Bagged & Skidded in wa |
国外
|
1394 |
甘肃龙玺精密-KLA CRS1010出售 |
KLA科磊 |
CRS1010 |
1998 |
Microscope |
国外
|
1393 |
甘肃龙玺精密-WET出售 |
Autoclean |
ISG-2000 |
- |
- |
国外
|
1392 |
甘肃龙玺精密-Component出售 |
Akrion |
UP V2 MP.2000 |
2000 |
- |
国外
|
1391 |
甘肃龙玺精密-Component出售 |
Akrion |
UP V2 MP.2000 |
2000 |
Bagged & Skidded in wa |
国外
|
1390 |
甘肃龙玺精密-Component出售 |
Akrion |
UP V2 MP.2000 |
- |
Main system 1, Fire su |
国外
|
1389 |
甘肃龙玺精密-Component出售 |
Sonicor Instrument |
TS-2404/402424H |
- |
- |
国外
|
1388 |
甘肃龙玺精密-Unknown Parts Clean Box-Exhaust出售 |
Unknown |
Parts Clean Box-Exhausted |
1995 |
for Parts Cleaning |
国外
|
1387 |
甘肃龙玺精密-LAM Synergy CMP出售 |
LAM泛林 |
Synergy |
1997 |
- |
国外
|
1386 |
甘肃龙玺精密-WET出售 |
Akrion |
MP-2000 |
2000 |
Unhooked, dismatlted a |
国外
|
1385 |
甘肃龙玺精密-HITACHI AS5000出售 |
HITACHI |
AS5000 |
1997 |
Metrology / SEMs in?Mi |
国外
|
1384 |
甘肃龙玺精密-Component出售 |
Thermo Fisher Scientific |
FED720 |
- |
- |
国外
|
1383 |
甘肃龙玺精密-LASERTEC PEGSIS P100出售 |
LASERTEC |
PEGSIS P100 |
2011 |
- |
国外
|
1382 |
甘肃龙玺精密-Component出售 |
Thermo Fisher Scientific |
FD400 |
1995 |
- |
国外
|
1381 |
甘肃龙玺精密-Component出售 |
Jackson Automation |
EXHAUST BOX |
2000 |
Implant / Clean Room E |
国外
|
1380 |
甘肃龙玺精密-Component出售 |
Jackson Automation |
Exhaust Cabinet |
- |
- |
国外
|
1379 |
甘肃龙玺精密-Component出售 |
Blue M |
DCC206CY |
1995 |
- |
国外
|
1378 |
甘肃龙玺精密-Component出售 |
Jackson Automation |
Exhaust Cabinet |
- |
- |
国外
|
1377 |
甘肃龙玺精密-Metrology出售 |
JEOL |
JWS-7515 |
1999 |
- |
国外
|
1376 |
甘肃龙玺精密-WET出售 |
Pan Abrasives |
KS9090WB/SS4 |
- |
- |
国外
|
1375 |
甘肃龙玺精密-AG Associates Extraction, Amine出售 |
AG Associates |
Extraction, Amine |
2000 |
- |
国外
|
1374 |
甘肃龙玺精密-Component出售 |
Thermo Fisher Scientific |
FD400 |
- |
- |
国外
|
1373 |
甘肃龙玺精密-Component出售 |
Thermo Fisher Scientific |
FED720 |
- |
- |
国外
|
1372 |
甘肃龙玺精密-Nanometrics Q200I出售 |
Nanometrics |
Q200I |
2000 |
2 X Indexer |
国外
|
1371 |
甘肃龙玺精密-Component出售 |
NCB Network |
NF200 |
2007 |
- |
国外
|
1370 |
甘肃龙玺精密-KLA Polylite 88出售 |
KLA科磊 |
Polylite 88 |
- |
- |
国外
|
1369 |
甘肃龙玺精密-Component出售 |
Blue M |
DCC206CY |
- |
- |
国外
|
1368 |
甘肃龙玺精密-Temptronic TPO4000A-2B21-2 ATE出售 |
Temptronic |
TPO4000A-2B21-2 |
2008 |
location : Singapore |
国外
|
1367 |
甘肃龙玺精密-PKG出售 |
Blue M |
DCC-1406CY |
1995 |
Convection Bake Oven |
国外
|
1366 |
甘肃龙玺精密-Semilab SPVCMS4000出售 |
Semilab |
SPVCMS4000 |
1995 |
- |
国外
|
1365 |
甘肃龙玺精密-Sonicar TS-12047HC出售 |
Sonicar |
TS-12047HC |
- |
- |
国外
|
1364 |
甘肃龙玺精密-SMT出售 |
UNIVERSAL PLASTICS |
Solvent Bench |
1995 |
Heating of Flow-Flashp |
国外
|
1363 |
甘肃龙玺精密-Metrology出售 |
JEOL |
JWS-7555 |
2002 |
- |
国外
|
1362 |
甘肃龙玺精密-Metrology出售 |
JEOL |
JWS-7555 |
2000 |
- |
国外
|
1361 |
甘肃龙玺精密-Furnace出售 |
BTU |
TCAS 181-7-72-E-36 |
2001 |
Controlled Atmosphere |
国外
|
1360 |
甘肃龙玺精密-KARL SUSS XBC300晶圆键合机出售 |
KARL SUSS |
XBC300 |
- |
300mm Wafer/ Glass Bon |
国外
|
1359 |
甘肃龙玺精密-SCREEN SU-3100 WET出售 |
SCREEN |
SU-3100 |
2011 |
8 chambers BEOL single |
国外
|
1358 |
甘肃龙玺精密-TEL Alpha-303i Furnace出售 |
TEL |
Alpha-303i |
- |
VMM-56-002, 2 boats, S |
国外
|
1357 |
甘肃龙玺精密-AMAT Centura Enabler Etch出售 |
AMAT应用材料 |
Centura Enabler |
2007 |
- |
国外
|
1356 |
甘肃龙玺精密-Component出售 |
Adixen |
APR4300 |
2014 |
In crate, In warehouse |
国外
|
1355 |
甘肃龙玺精密-Component出售 |
Adixen |
APR4300 |
2014 |
Unhooked. In warehouse |
国外
|
1354 |
甘肃龙玺精密-Advantest 83000出售 |
Advantest |
83000 |
2000 |
Tester, F330t , 256 pi |
国外
|
1353 |
甘肃龙玺精密-Advantest 83000出售 |
Advantest |
83000 |
2000 |
Tester, F330t , 128 pi |
国外
|
1352 |
甘肃龙玺精密-Agilent 81110A出售 |
Agilent |
81110A |
- |
- |
国外
|
1351 |
甘肃龙玺精密-KOKUSAI DJ-1206VN-DM出售 |
KOKUSAI |
DJ-1206VN-DM |
2006 |
- |
国外
|
1350 |
甘肃龙玺精密-TEL Alpha-303i Furnace出售 |
TEL |
Alpha-303i |
- |
VMM-56-002, 2 boats |
国外
|
1349 |
甘肃龙玺精密-TEL Alpha-303i Furnace出售 |
TEL |
Alpha-303i |
- |
VMM-56-002, 2 boats, S |
国外
|
1348 |
甘肃龙玺精密-TEL Alpha-303i Furnace出售 |
TEL |
Alpha-303i |
- |
VMM-56-002, 2 boats, S |
国外
|
1347 |
甘肃龙玺精密-Component出售 |
KINIK |
BI2 |
- |
- |
国外
|
1346 |
甘肃龙玺精密-SCREEN SU-3100 WET出售 |
SCREEN |
SU-3100 |
2011 |
8 chambers single wafe |
国外
|
1345 |
甘肃龙玺精密-SCREEN SU-3100 WET出售 |
SCREEN |
SU-3100 |
2013 |
8 chambers single wafe |
国外
|
1344 |
甘肃龙玺精密-Jordan Valley JVX6200i出售 |
Jordan Valley |
JVX6200i |
2011 |
- |
国外
|
1343 |
甘肃龙玺精密-Metrology出售 |
Toray |
HS-830 |
2010 |
- |
国外
|
1342 |
甘肃龙玺精密-TEL nFusion 700 Implant出售 |
TEL |
nFusion 700 |
2013 |
- |
国外
|
1341 |
甘肃龙玺精密-Novellus Inova NExT PVD出售 |
Novellus |
Inova NExT |
2007 |
3ports, 4x Ti, 2x AlCu |
国外
|
1340 |
甘肃龙玺精密-AXCELIS COMPACT II Furnace出售 |
AXCELIS |
COMPACT II |
- |
- |
国外
|
1339 |
甘肃龙玺精密-Semitool Raider ECD310出售 |
Semitool |
Raider ECD310 |
2006 |
Capsule (Cleanning Cha |
国外
|
1338 |
甘肃龙玺精密-Metrology出售 |
PSS |
AccuSizer APS 780 |
2006 |
- |
国外
|
1337 |
甘肃龙玺精密-Semitool Raider ECD310出售 |
Semitool |
Raider ECD310 |
2007 |
- |
国外
|
1336 |
甘肃龙玺精密-Semitool Raider ECD310出售 |
Semitool |
Raider ECD310 |
2007 |
- |
国外
|
1335 |
甘肃龙玺精密-Rudolph WV320出售 |
Rudolph |
WV320 |
2007 |
- |
国外
|
1334 |
甘肃龙玺精密-Metrology出售 |
Phoenix |
Micromex SE 160T |
2009 |
Xray tool |
国外
|
1333 |
甘肃龙玺精密-KOKUSAI DD-1236VN-DF出售 |
KOKUSAI |
DD-1236VN-DF |
- |
"need exact model name |
国外
|
1332 |
甘肃龙玺精密-KOKUSAI DD-1223VN出售 |
KOKUSAI |
DD-1223VN |
- |
Single boat, CX5000 |
国外
|
1331 |
甘肃龙玺精密-Furnace出售 |
ASM |
A412 |
2011 |
2boats |
国外
|
1330 |
甘肃龙玺精密-Mattson Steag Etch出售 |
Mattson |
Steag |
2002 |
- |
国外
|
1329 |
甘肃龙玺精密-AMAT Centura Chamber Etch出售 |
AMAT应用材料 |
Centura Chamber |
2010 |
2 x Minos, 1 x Carina, |
国外
|
1328 |
甘肃龙玺精密-TEL Trias CVD出售 |
TEL |
Trias |
2010 |
- |
国外
|
1327 |
甘肃龙玺精密-AXCELIS Optima HDxT Implant出售 |
AXCELIS |
Optima HDxT |
2011 |
Axcelis Optima HDxT
|
国外
|
1326 |
甘肃龙玺精密-Component出售 |
Chiron |
XACT-830 |
- |
- |
国外
|
1325 |
甘肃龙玺精密-KLA Viper 2438出售 |
KLA科磊 |
Viper 2438 |
2010 |
- |
国外
|
1324 |
甘肃龙玺精密-KLA Tencor ES31晶圆检查系统出售 |
KLA科磊 |
ES31 |
2004 |
E-beam Inspection / SE |
国外
|
1323 |
甘肃龙玺精密-GEMETEC Elymat III出售 |
GEMETEC |
Elymat III |
- |
- |
国外
|
1322 |
甘肃龙玺精密-AMAT NanoSEM 3D Metrology出售 |
AMAT应用材料 |
NanoSEM 3D |
2002 |
MULTIPLE UNITS AVAILAB |
国外
|
1321 |
甘肃龙玺精密-AMAT NanoSEM 3D Metrology出售 |
AMAT应用材料 |
NanoSEM 3D |
2002 |
ULTIPLE UNITS AVAILBLE |
国外
|
1320 |
甘肃龙玺精密-Multiprobe MP1 ATE出售 |
Multiprobe |
MP1 |
2006 |
Condition Very Good, |
国外
|
1319 |
甘肃龙玺精密-Novellus Inova NExT PVD出售 |
Novellus |
Inova NExT |
2015 |
3ports, 2x AlCu, 2x Ti |
国外
|
1318 |
甘肃龙玺精密-Metrology出售 |
HSEB |
AXIOSPECT 301 |
- |
- |
国外
|
1317 |
甘肃龙玺精密-Etch出售 |
Shibaura |
CDE300 |
- |
Main mini environment |
国外
|
1316 |
甘肃龙玺精密-WET出售 |
Semitool |
Raider SP |
2006 |
- |
国外
|
1315 |
甘肃龙玺精密-KARL SUSS HVMMFT出售 |
KARL SUSS |
HVMMFT |
- |
- |
国外
|
1314 |
甘肃龙玺精密-KARL SUSS HVMMFT出售 |
KARL SUSS |
HVMMFT |
- |
- |
国外
|
1313 |
甘肃龙玺精密-Kinetic Systems Megapure 6001 HC出售 |
Kinetic Systems |
Megapure 6001 HC |
- |
- |
国外
|
1312 |
甘肃龙玺精密-Component出售 |
Adixen |
APR4300 |
2012 |
4 process chambers, 1 |
国外
|
1311 |
甘肃龙玺精密-AMAT Centura Enabler Chamber Etch出售 |
AMAT应用材料 |
Centura Enabler Chamber |
2004 |
Condition : Very Good |
国外
|
1310 |
甘肃龙玺精密-AMAT Vantage Vulcan RTP出售 |
AMAT应用材料 |
Vantage Vulcan |
2013 |
2 Chamber RTP System |
国外
|
1309 |
甘肃龙玺精密-Metrology出售 |
SOPRA |
EP12 |
- |
- |
国外
|
1308 |
甘肃龙玺精密-Advantest 83000出售 |
Advantest |
83000 |
2000 |
Tester, F330t , 64 pin |
国外
|
1307 |
甘肃龙玺精密-SemiProbe PS4L FA-12 ATE出售 |
SemiProbe |
PS4L FA-12 |
2012 |
with FOUP capablity |
国外
|
1306 |
甘肃龙玺精密-Track出售 |
SCREEN |
DT-3000 |
2015 |
DUO 4 CUP + 12 PCDH + |
国外
|
1305 |
甘肃龙玺精密-TEL RLSA-H Chambers Etch出售 |
TEL |
RLSA-H Chambers |
2011 |
Dry Etch, Bx-, Cx-, E1 |
国外
|
1304 |
甘肃龙玺精密-E.A. Fischione 2040出售 |
E.A. Fischione Instruments |
2040 |
- |
- |
国外
|
1303 |
甘肃龙玺精密-Waters 2695出售 |
Waters |
2695 |
- |
- |
国外
|
1302 |
甘肃龙玺精密-Component出售 |
Blue M |
DCC206CY |
- |
- |
国外
|
1301 |
甘肃龙玺精密-AMAT Producer GT Chamber CVD出售 |
AMAT应用材料 |
Producer GT Chamber |
2014 |
Parts Machine: 1 x Pro |
国外
|
1300 |
甘肃龙玺精密-Mattson Helios RTP出售 |
Mattson |
Helios |
2007 |
- |
国外
|
1299 |
甘肃龙玺精密-Metrology出售 |
FEI |
Ex-Situ Plucker |
2009 |
- |
国外
|
1298 |
甘肃龙玺精密-HITACHI HF-2000电子显微镜出售 |
HITACHI |
HF-2000 |
1995 |
200KeV Advanced Analyt |
国外
|
1297 |
甘肃龙玺精密-Novellus Inova NExT PVD出售 |
Novellus |
Inova NExT |
2013 |
3ports, 2x TiN, 2x Deg |
国外
|
1296 |
甘肃龙玺精密-Etch出售 |
Nippon Scientific |
PS102W |
- |
- |
国外
|
1295 |
甘肃龙玺精密-Metrology出售 |
Carl Zeiss |
LEA1530 |
2002 |
FIELD EMISSION SCANNIN |
国外
|
1294 |
甘肃龙玺精密-Metrology出售 |
FEI |
ExSolve 2 WTP EFEM |
2017 |
Main system, Handler ( |
国外
|
1293 |
甘肃龙玺精密-Metrology出售 |
FEI |
Ex-Situ Plucker |
2008 |
- |
国外
|
1292 |
甘肃龙玺精密-Metrology出售 |
JEOL |
ARM200CF Super X |
2014 |
Main system |
国外
|
1291 |
甘肃龙玺精密-Component出售 |
E.A. Fischione Instruments |
1030 |
2005 |
System S/N: 14 |
国外
|
1290 |
甘肃龙玺精密-ANCOSYS P13010出售 |
ANCOSYS |
P13010 |
2011 |
- |
国外
|
1289 |
甘肃龙玺精密-CHECKPOINT 300 TDE出售 |
CHECKPOINT |
300 TDE |
2011 |
Top down OBIRCH/InGaAs |
国外
|
1288 |
甘肃龙玺精密-Metrology出售 |
Hermes Microvision |
eP4 |
2017 |
- |
国外
|
1287 |
甘肃龙玺精密-HITACHI M-8190XT Etch出售 |
HITACHI |
M-8190XT |
2013 |
3 Chambers |
国外
|
1286 |
甘肃龙玺精密-Metrology出售 |
Jordan Valley |
JVX7300 |
2012 |
TFM_THK_JV |
国外
|
1285 |
甘肃龙玺精密-LYNCEE TEC Holographic Microscope出售 |
LYNCEE TEC |
Holographic Microscope |
2012 |
- |
国外
|
1284 |
甘肃龙玺精密-TERADYNE Probe Card Interface出售 |
TERADYNE |
Probe Card Interface |
2011 |
- |
国外
|
1283 |
甘肃龙玺精密-TEL Tactras Vigus-0 Etch出售 |
TEL |
Tactras Vigus-0 |
2010 |
NCCP |
国外
|
1282 |
甘肃龙玺精密-TEL Certas LEAGA Etch出售 |
TEL |
Certas LEAGA |
2016 |
In a line. SW V1.90, C |
国外
|
1281 |
甘肃龙玺精密-Component出售 |
VWR Scientific |
1601 |
2011 |
- |
国外
|
1280 |
甘肃龙玺精密-AMAT Olympia CVD出售 |
AMAT应用材料 |
Olympia |
2015 |
2Ch ALD System, Single |
国外
|
1279 |
甘肃龙玺精密-KOKUSAI DJ-1206VN-DM出售 |
KOKUSAI |
DJ-1206VN-DM |
- |
- |
国外
|
1278 |
甘肃龙玺精密-KOKUSAI DJ-1206VN-DM出售 |
KOKUSAI |
DJ-1206VN-DM |
- |
- |
国外
|
1277 |
甘肃龙玺精密-AMAT ACMS XT II Component出售 |
AMAT应用材料 |
ACMS XT II |
2005 |
- |
国外
|
1276 |
甘肃龙玺精密-AMAT ACMS0XT-ASG-E Component出售 |
AMAT应用材料 |
ACMS0XT-ASG-E |
2006 |
- |
国外
|
1275 |
甘肃龙玺精密-AMAT UVision 5 Metrology出售 |
AMAT应用材料 |
UVision 5 |
2011 |
- |
国外
|
1274 |
甘肃龙玺精密-AMAT UVision 5 Metrology出售 |
AMAT应用材料 |
UVision 5 |
2012 |
300mm G1 Load Port 2
|
国外
|
1273 |
甘肃龙玺精密-Nanometrics Q200I出售 |
Nanometrics |
Q200I |
2002 |
2 X Indexer |
国外
|
1272 |
甘肃龙玺精密-LASERTEC BI100出售 |
LASERTEC |
BI100 |
2017 |
- |
国外
|
1271 |
甘肃龙玺精密-Metrology出售 |
RORZE |
RSR160 |
2017 |
- |
国外
|
1270 |
甘肃龙玺精密-Component出售 |
Brooks |
M1900 |
2018 |
- |
国外
|
1269 |
甘肃龙玺精密-MCC ABES-V ATE出售 |
MCC |
ABES-V |
2001 |
BI tool (ambient / hig |
国外
|
1268 |
甘肃龙玺精密-MCC ABES-V ATE出售 |
MCC |
ABES-V |
2001 |
single slot screen too |
国外
|
1267 |
甘肃龙玺精密-Track出售 |
SCREEN |
DT-3000 |
2013 |
DUO 4 CUP + 12 PCDH + |
国外
|
1266 |
甘肃龙玺精密-AMAT Centura Carina Chamber Etch出售 |
AMAT应用材料 |
Centura Carina Chamber |
- |
Chamber Only.
Carina |
国外
|
1265 |
甘肃龙玺精密-Micro Control Abes Memory PreScreener出售 |
Micro Control |
Abes Memory PreScreener |
2000 |
System S/N: 112, Softw |
国外
|
1264 |
甘肃龙玺精密-Micro Control Abes Memory PreScreener出售 |
Micro Control |
Abes Memory PreScreener |
1999 |
SoftwareVersion :OS/2 |
国外
|
1263 |
甘肃龙玺精密-Micro Control Abes Memory Test Tool出售 |
Micro Control |
Abes Memory Test Tool |
1999 |
2 Chambers, 16 slots e |
国外
|
1262 |
甘肃龙玺精密-Micro Control WRP256 ATE出售 |
Micro Control |
WRP256 |
1999 |
2 Chamber 8 Slots Each |
国外
|
1261 |
甘肃龙玺精密-Micro Control WRP256 ATE出售 |
Micro Control |
WRP256 |
1996 |
SoftwareVersion :OS/2 |
国外
|
1260 |
甘肃龙玺精密-Camtek X- ACT Metrology出售 |
Camtek |
X- ACT |
2012 |
- |
国外
|
1259 |
甘肃龙玺精密-Olympus PMG3出售 |
Olympus |
PMG3 |
- |
- |
国外
|
1258 |
甘肃龙玺精密-Semilab PS-2000出售 |
Semilab |
PS-2000 |
2015 |
- |
国外
|
1257 |
甘肃龙玺精密-Component出售 |
ABB |
IRB120 |
2016 |
- |
国外
|
1256 |
甘肃龙玺精密-Component出售 |
Advenced Control |
Advenced Control |
2001 |
- |
国外
|
1255 |
甘肃龙玺精密-Component出售 |
Advenced Control |
Advenced Control |
2006 |
MEE200/WET200 CMS hist |
国外
|
1254 |
甘肃龙玺精密-DISCO DFG821划片机出售 |
DISCO |
DFG821/F8 |
1993 |
- |
国外
|
1253 |
甘肃龙玺精密-Metrology出售 |
Bruker |
Insight 3D |
2017 |
AFM, Idle in a fab, SW |
国外
|
1252 |
甘肃龙玺精密-Component出售 |
Chemical Safety Technology, In |
Dual drum waste Cabinet |
- |
- |
国外
|
1251 |
甘肃龙玺精密-Metrology出售 |
Met One |
3313 |
- |
- |
国外
|
1250 |
甘肃龙玺精密-Component出售 |
Revco |
ULT 2140-5- D30 -40C |
- |
- |
国外
|
1249 |
甘肃龙玺精密-PKG出售 |
Royal Sovereign |
RSL-2702 |
- |
- |
国外
|
1248 |
甘肃龙玺精密-Component出售 |
VWR Scientific |
61161-326 |
- |
- |
国外
|
1247 |
甘肃龙玺精密-Component出售 |
VWR Scientific |
1610 |
- |
- |
国外
|
1246 |
甘肃龙玺精密-ENTEGRIS LPDF40SS4出售 |
ENTEGRIS |
LPDF40SS4 |
2019 |
- |
国外
|
1245 |
甘肃龙玺精密-KOKUSAI DD-1223V出售 |
KOKUSAI |
DD-1223V |
2014 |
DD-1223VN-DF/ QUIXACE- |
国外
|
1244 |
甘肃龙玺精密-FSI ORION WET出售 |
FSI |
ORION |
2011 |
- |
国外
|
1243 |
甘肃龙玺精密-Component出售 |
ESCO |
EHWS 8C |
- |
- |
国外
|
1242 |
甘肃龙玺精密-ASML XT1250B光刻机出售 |
ASML |
XT1250B |
2005 |
- |
国外
|
1241 |
甘肃龙玺精密-Component出售 |
Empire Abrasive Equipment |
PF-2632 M-02522 |
2006 |
Blaster leaks at
hoppe |
国外
|
1240 |
甘肃龙玺精密-Track出售 |
SCREEN |
DT-3000 |
2015 |
DUO 4 CUP + 12 PCDH + |
国外
|
1239 |
甘肃龙玺精密-WET出售 |
FSI |
Antares |
2002 |
- |
国外
|
1238 |
甘肃龙玺精密-WET出售 |
Semitool |
Spectrum 300 |
2012 |
- |
国外
|
1237 |
甘肃龙玺精密-AXCELIS Summit 300XT RTP出售 |
AXCELIS |
Summit 300XT |
2003 |
- |
国外
|
1236 |
甘肃龙玺精密-Component出售 |
Blue M |
RG-3010F-2 |
- |
- |
国外
|
1235 |
甘肃龙玺精密-Component出售 |
Wilt Industries |
4106 |
- |
- |
国外
|
1234 |
甘肃龙玺精密-Component出售 |
Denton |
Infinity 18 |
2002 |
- |
国外
|
1233 |
甘肃龙玺精密-Micro Control WRP256 ATE出售 |
Micro Control |
WRP256 |
1999 |
- |
国外
|
1232 |
甘肃龙玺精密-Metrology出售 |
Carl Zeiss |
Axiotron-2 |
- |
- |
国外
|
1231 |
甘肃龙玺精密-Metrology出售 |
Carl Zeiss |
Axiotron-2 |
- |
- |
国外
|
1230 |
甘肃龙玺精密-Component出售 |
FEI |
Meridian-IV |
2013 |
- |
国外
|
1229 |
甘肃龙玺精密-Component出售 |
FSI |
PWB-48X38X64-3E |
2011 |
- |
国外
|
1228 |
甘肃龙玺精密-Component出售 |
FSI |
PWB-48X38X64-3E |
2011 |
- |
国外
|
1227 |
甘肃龙玺精密-Component出售 |
FSI |
PWB-48X38X64-3E |
2011 |
- |
国外
|
1226 |
甘肃龙玺精密-AMAT Endura II PVD出售 |
AMAT应用材料 |
Endura II |
2006 |
1x DSTTN |
已售出
|
1225 |
甘肃龙玺精密-Metrology出售 |
FEI |
Ex-Situ Plucker |
2011 |
- |
国外
|
1224 |
甘肃龙玺精密-WET出售 |
DMS |
Tornado 200 |
2013 |
- |
国外
|
1223 |
甘肃龙玺精密-Akrion Component UP V2 MP.2000出售 |
Akrion |
UP V2 MP.2000 |
- |
Tool is sitting in Sub |
国外
|
1222 |
甘肃龙玺精密-AMAT NanoSEM 3D Metrology出售 |
AMAT应用材料 |
NanoSEM 3D |
2004 |
Installed. Operationa |
国外
|
1221 |
甘肃龙玺精密-AMAT NanoSEM 3D Metrology出售 |
AMAT应用材料 |
NanoSEM 3D |
2004 |
Installed. Operationa |
国外
|
1220 |
甘肃龙玺精密-AMAT NanoSEM 3D Metrology出售 |
AMAT应用材料 |
NanoSEM 3D |
2004 |
Installed. Operationa |
国外
|
1219 |
甘肃龙玺精密-Extraction System Inc TMB RTM出售 |
Extraction System Inc |
TMB RTM |
- |
- |
国外
|
1218 |
甘肃龙玺精密-Component出售 |
Jackson Automation |
Storage Cabinet |
- |
- |
国外
|
1217 |
甘肃龙玺精密-Rudolph WV320出售 |
Rudolph |
WV320 |
2005 |
- |
国外
|
1216 |
甘肃龙玺精密-Nanometrics Caliper Q300出售 |
Nanometrics |
Caliper Q300 |
2003 |
Overlay Measurement, C |
国外
|
1215 |
甘肃龙玺精密-Nanometrics Caliper Q300出售 |
Nanometrics |
Caliper Q300 |
2003 |
SEM - Critical Dimensi |
国外
|
1214 |
甘肃龙玺精密-Nanometrics Caliper Q300出售 |
Nanometrics |
Caliper Q300 |
2002 |
Nanometrics Caliper In |
国外
|
1213 |
甘肃龙玺精密-AMAT Producer GT CVD出售 |
AMAT应用材料 |
Producer GT |
2015 |
3 Chamber: 1x SiCoNi P |
国外
|
1212 |
甘肃龙玺精密-AMAT Producer GT CVD出售 |
AMAT应用材料 |
Producer GT |
2016 |
Frontier FRONTIER etch |
国外
|
1211 |
甘肃龙玺精密-AMAT Producer GT CVD出售 |
AMAT应用材料 |
Producer GT |
2015 |
Frontier FRONTIER etch |
国外
|
1210 |
甘肃龙玺精密-AMAT Producer GT CVD出售 |
AMAT应用材料 |
Producer GT |
2017 |
Frontier FRONTIER etch |
国外
|
1209 |
甘肃龙玺精密-Ametek/Cameca EX300出售 |
Ametek/Cameca |
EX300 |
2011 |
Stranded, no potential |
国外
|
1208 |
甘肃龙玺精密-Ametek/Cameca EX300出售 |
Ametek/Cameca |
EX300 |
2009 |
Shallow Probe Measurme |
国外
|
1207 |
甘肃龙玺精密-Component出售 |
FSI |
PWC-48X38X64-3E - Drying Oven |
2011 |
- |
国外
|
1206 |
甘肃龙玺精密-Novellus Inova XT PVD出售 |
Novellus |
Inova XT |
2003 |
6 Chambers |
国外
|
1205 |
甘肃龙玺精密-Component出售 |
QCEPT |
QCEPT |
2011 |
- |
国外
|
1204 |
甘肃龙玺精密-TEL Indy Irad Furnace出售 |
TEL |
Indy Irad |
2007 |
- |
国外
|
1203 |
甘肃龙玺精密-IMS XTS-FT ATE出售 |
IMS |
XTS-FT |
1998 |
- |
国外
|
1202 |
甘肃龙玺精密-ASM Epsilon 3200出售 |
ASM |
Epsilon 3200 |
2005 |
CVD |
国外
|
1201 |
甘肃龙玺精密-Nanometrics Caliper Q300出售 |
Nanometrics |
Caliper Q300 |
2002 |
Installed |
国外
|
1200 |
甘肃龙玺精密-Component出售 |
Hologenix |
MTX 2000/2/MIS SLIPBAY |
2001 |
- |
国外
|
1199 |
甘肃龙玺精密-Packaging Systems Entry Conveyor出售 |
Packaging Systems |
Entry Conveyor |
2018 |
- |
国外
|
1198 |
甘肃龙玺精密-Metrology出售 |
M&W Products |
IPRO 7 |
2012 |
for KLA IPRO7 |
国外
|
1197 |
甘肃龙玺精密-Micro Lithography 7002 ATE出售 |
Micro Lithography |
7002 |
1995 |
- |
国外
|
1196 |
甘肃龙玺精密-Component出售 |
Akrion |
UP V2 MP.2000 |
1994 |
Main system 1, SMIF AL |
国外
|
1195 |
甘肃龙玺精密-Estion E-RETICLE V 4M出售 |
Estion |
E-RETICLE V 4M |
2010 |
- |
国外
|
1194 |
甘肃龙玺精密-KLA DP2出售 |
KLA科磊 |
DP2 |
2012 |
DP2 Data Prep Station |
国外
|
1193 |
甘肃龙玺精密-Component出售 |
Kurita |
Unknown |
2012 |
REGAS UNITS (X2) |
国外
|
1192 |
甘肃龙玺精密-Component出售 |
True Refrigerator |
S-72-SCI-HC |
2017 |
- |
国外
|
1191 |
甘肃龙玺精密-Component出售 |
True Refrigerator |
TS-72-SCI-HC |
2000 |
- |
国外
|
1190 |
甘肃龙玺精密-Component出售 |
Air Liquide |
Fabstream III |
2019 |
SiH4 |
国外
|
1189 |
甘肃龙玺精密-Component出售 |
PMS |
Surfex200 |
2013 |
- |
国外
|
1188 |
甘肃龙玺精密-Component出售 |
Polycom |
ISX309 |
2015 |
- |
国外
|
1187 |
甘肃龙玺精密-Polycom Studio ISX321 Component出售 |
Polycom |
Studio ISX321 |
2014 |
- |
国外
|
1186 |
甘肃龙玺精密-ASML XT1700Gi光刻机出售 |
ASML |
XT1700Gi |
2006 |
- |
国外
|
1185 |
甘肃龙玺精密-FSI ORION WET出售 |
FSI |
ORION |
2005 |
- |
国外
|
1184 |
甘肃龙玺精密-Mosaid MS4205 ATE出售 |
Mosaid |
MS4205 |
- |
200/400MHz, 16x16y add |
国外
|
1183 |
甘肃龙玺精密-Component出售 |
Micro Control |
Abes IV |
- |
Condition : Fair, Burn |
国外
|
1182 |
甘肃龙玺精密-Component出售 |
Micro Control |
WRP64 |
- |
Condition : Fair, Burn |
国外
|
1181 |
甘肃龙玺精密-Kinetic Systems Megapure 6001 HC出售 |
Kinetic Systems |
Megapure 6001 HC |
- |
Component |
国外
|
1180 |
甘肃龙玺精密-Component出售 |
MKS |
AX8559 |
- |
- |
国外
|
1179 |
甘肃龙玺精密-Mattson TiW Etch Tool Component出售 |
Mattson |
TiW Etch Tool |
2002 |
Wet Etch tool, conditi |
国外
|
1178 |
甘肃龙玺精密-Component出售 |
Control Air |
D-9-L-SM-UM-MOD 184 |
- |
D-9-L-SM-UM LIFT,MODEL |
国外
|
1177 |
甘肃龙玺精密-TEL Precio octo ATE出售 |
TEL |
Precio octo |
2017 |
- |
国外
|
1176 |
甘肃龙玺精密-Rudolph S3000S出售 |
Rudolph |
S3000S |
2011 |
- |
国外
|
1175 |
甘肃龙玺精密-Rudolph S3000SX出售 |
Rudolph |
S3000SX |
2011 |
- |
国外
|
1174 |
甘肃龙玺精密-Metrology出售 |
Phoenix |
micromex 160 |
2007 |
- |
国外
|
1173 |
甘肃龙玺精密-AMAT Octane G2 assy Component出售 |
AMAT应用材料 |
Octane G2 assy |
1999 |
- |
国外
|
1172 |
甘肃龙玺精密-AMAT Octane G2 assy Component出售 |
AMAT应用材料 |
Octane G2 assy |
1999 |
- |
国外
|
1171 |
甘肃龙玺精密-Chiron DESTIN EM ATE出售 |
Chiron |
DESTIN EM |
2000 |
- |
国外
|
1170 |
甘肃龙玺精密-Chiron DESTIN EM ATE出售 |
Chiron |
DESTIN EM |
2001 |
- |
国外
|
1169 |
甘肃龙玺精密-Chiron XPEQT EM ATE出售 |
Chiron |
XPEQT EM |
2001 |
- |
国外
|
1168 |
甘肃龙玺精密-Chiron XPEQT EM ATE出售 |
Chiron |
XPEQT EM |
2002 |
- |
国外
|
1167 |
甘肃龙玺精密-Chiron XPEQT EM ATE出售 |
Chiron |
XPEQT EM |
2002 |
- |
国外
|
1166 |
甘肃龙玺精密-Chiron XPEQT EM ATE出售 |
Chiron |
XPEQT EM |
2002 |
- |
国外
|
1165 |
甘肃龙玺精密-Metrology出售 |
Jordan Valley |
BedeMetrix-F |
2006 |
Missing Parts . |
国外
|
1164 |
甘肃龙玺精密-Chiron DESTIN EM ATE出售 |
Chiron |
DESTIN EM |
2000 |
- |
国外
|
1163 |
甘肃龙玺精密-WET出售 |
SCREEN |
FC-3000 |
2005 |
- |
国外
|
1162 |
甘肃龙玺精密-SCREEN FC-3100 WET出售 |
SCREEN |
FC-3100 |
2007 |
6 baths |
国外
|
1161 |
甘肃龙玺精密-Novellus Inova PVD出售 |
Novellus |
Inova |
2014 |
MDX83x, underutilized |
国外
|
1160 |
甘肃龙玺精密-LAM(Novellus) Vector Express CVD出售 |
LAM(Novellus) |
Vector Express |
2011 |
CVD34x, underutilized |
国外
|
1159 |
甘肃龙玺精密-LAM(Novellus) Vector Express CVD出售 |
LAM(Novellus) |
Vector Express |
2011 |
- |
国外
|
1158 |
甘肃龙玺精密-WET出售 |
Semitool |
Spectrum |
- |
- |
国外
|
1157 |
甘肃龙玺精密-TEL Trias CVD出售 |
TEL |
Trias |
2006 |
- |
国外
|
1156 |
甘肃龙玺精密-TEL Trias CVD出售 |
TEL |
Trias |
2006 |
- |
国外
|
1155 |
甘肃龙玺精密-TEL Trias CVD出售 |
TEL |
Trias |
2006 |
- |
国外
|
1154 |
甘肃龙玺精密-KOKUSAI DJ-1206VN-DM出售 |
KOKUSAI |
DJ-1206VN-DM |
2005 |
- |
国外
|
1153 |
甘肃龙玺精密-Nanometrics Caliper出售 |
Nanometrics |
Caliper |
2001 |
Connected. |
国外
|
1152 |
甘肃龙玺精密-Metrology出售 |
Nova |
T600 |
2014 |
- |
国外
|
1151 |
甘肃龙玺精密-TEL LITHIUS i Track出售 |
TEL |
LITHIUS i |
2005 |
Missing parts |
国外
|
1150 |
甘肃龙玺精密-RIGAKU 3272出售 |
RIGAKU |
3272 |
2005 |
- |
国外
|
1149 |
甘肃龙玺精密-AXCELIS XT300 Furnace出售 |
AXCELIS |
XT300 |
2001 |
- |
国外
|
1148 |
甘肃龙玺精密-Metrology出售 |
VEECO |
Dimension X3D |
2006 |
System S/N : 149 |
国外
|
1147 |
甘肃龙玺精密-Metrology出售 |
FEI |
CLM 3D |
2011 |
- |
国外
|
1146 |
甘肃龙玺精密-CVD出售 |
Novellus |
C3 Speed chms |
2002 |
- |
国外
|
1145 |
甘肃龙玺精密-Kinetic Systems MB 331-FDD出售 |
Kinetic Systems |
MB 331-FDD |
2014 |
- |
国外
|
1144 |
甘肃龙玺精密-Sinfornia SELOP12F25-S7A0021 CMP出售 |
Sinfornia |
SELOP12F25-S7A0021 |
2014 |
- |
国外
|
1143 |
甘肃龙玺精密-Perkin Elmer AANALYST 600 ATE出售 |
Perkin Elmer |
AANALYST 600 |
2002 |
- |
国外
|
1142 |
甘肃龙玺精密-LTX CREDENCE D10出售 |
LTX CREDENCE |
D10 |
2007 |
- |
国外
|
页次:
6
/ 9页 每页:500 设备数:4141
9[1][2][3][4][5][6][7][8][9]: 总共有9页
|
|