二手半导体设备交易平台semi1688.com
首页 CANON NIKON DISCO ASML AMAT TEL LAM KLA SEMICS HITACHI YOKOGAWA
图 片 设备名称 制造商 型号 年份 详细配置 状 态
DISCO DFD6360切割机 DISCO DFD6360切割机 DISCO DFD6360 2005 - 国外
Besi Molding设备Fico AMSW40306 Besi Molding设备Fico AMSW40306 Besi Molding Fico AMSW40306 2010 - 国外
MKS / ASTEX AX 8200A MKS / ASTEX AX 8200A MKS ASTEX AX 8200A - 5台打包卖 国外
LAM RESEARCH 2300多晶硅蚀刻机 LAM RESEARCH 2300多晶硅蚀刻机 LAM泛林 2300 2005 missing parts缺件:
Load port 1 mis
已售出
DISCO DFG840减薄机 DISCO DFG840减薄机 DISCO DFG840 1997 - 国外
NIKON NSR 2205i11C光刻机 NIKON NSR 2205i11C光刻机 NIKON NSR-2205i11C 1995 Stepper, 6"
Type II wafer loader
国外
AMAT PRODUCER GT化学气相沉积 AMAT PRODUCER GT化学气相沉积 AMAT应用材料 PRODUCER GT 2007 晶圆尺寸:300mm
生产者 GT: 配置
FI:5.4
国外
AMAT PRODUCER GT化学气相沉积 AMAT PRODUCER GT化学气相沉积 AMAT应用材料 PRODUCER GT 2008 晶圆尺寸:300mm
生产者GT:配置FI
类型:SFEM(
国外
DNS SS-3000AR DNS SS-3000AR DNS SS-3000AR 2007 - 国外
NIKON NSR S204B分步投影光刻机 NIKON NSR S204B分步投影光刻机 NIKON NSR-S204B 1999 - 国外
AMAT P5000刻蚀机 AMAT P5000刻蚀机 AMAT应用材料 P5000 - 2CH / 3CH 国外
NIKON NSR SF130光刻机 NIKON NSR SF130光刻机 NIKON NSR-SF130 2005 - 已售出
NIKON NSR S205C光刻机 NIKON NSR S205C光刻机 NIKON NSR-S205C - - 国外
CANON FPA6000es5步进式光刻机 CANON FPA6000es5步进式光刻机 CANON FPA6000es5 2003 - 国外
OXFORD Plasmalab 800 Plus OXFORD Plasmalab 800 Plus OXFORD牛津 Plasmalab 800 Plus - PECVD System 国外
OXFORD Plasmalab 80 Plus OXFORD Plasmalab 80 Plus OXFORD牛津 Plasmalab 80 Plus - RIE System 国外
DISCO DFD6360切割机 DISCO DFD6360切割机 DISCO DFD6360 2005 - 国外
KLA ACROTEC6020 KLA ACROTEC6020 KLA科磊 ACROTEC 6020 - Inspection system/PC/HDD. 国外
HITACHI S-5200扫描电子显微镜(SEM) HITACHI S-5200扫描电子显微镜(SEM) HITACHI S-5200 - - 国外
HITACHI S-4500扫描电子显微镜 HITACHI S-4500扫描电子显微镜 HITACHI S-4500 1995 FE-SEM 国外
HITACHI S-8820扫描电子显微镜(CD-SEM) HITACHI S-8820扫描电子显微镜(CD-SEM) HITACHI S-8820 6寸 Automatic dry-clean evacuation
8
国外
DISCO DFD6750全自动切割机 DISCO DFD6750全自动切割机 DISCO DFD6750 2012 1、高生产效率的精密切割用切割引擎:对应其他尺寸加工物、对向式双主 国外
KARL SUSS  MA6单/双面光刻机 KARL SUSS MA6单/双面光刻机 KARL SUSS MA/BA6 - 已翻新好,单/双面光刻机. 国内
TOK TCE-3822蚀刻设备 TOK TCE-3822蚀刻设备 TOK TCE-3822 2011 电源:三相 200V 125A 60Hz
1/2:蚀刻设备主体
国外
LAM RESEARCH 9400 SE等离子刻蚀机 LAM RESEARCH 9400 SE等离子刻蚀机 LAM泛林 9400 SE 1997 整机完整不缺件 国外
Origin Origin JPF-3A Origin Origin JPF-3A Origin Origin JPF-3A 2003 Cap sealing 国外
KLA Tencor UV-1280SE薄膜测量系统 KLA Tencor UV-1280SE薄膜测量系统 KLA科磊 UV1280SE 2000 Film Thickness Measurement 国外
KLA Filmetrics F20薄膜测厚仪 KLA Filmetrics F20薄膜测厚仪 KLA科磊 F20 2021 Thickness Measurement 国外
Olympus STM-6 Olympus STM-6 Olympus STM-6 Microscope 国外
TEL INDY Plus-B-M 12 TEL INDY Plus-B-M 12" TEL INDY Plus-B-M 2010 Furance 国外
TEL TE8500刻蚀机 TEL TE8500刻蚀机 TEL TE8500P - 6" Dry Etch 国外
DNS SK-200W-AVP(2C2D) 6'' DNS SK-200W-AVP(2C2D) 6'' DNS SK-200W-AVP(2C2D) 1998 Track 国外
ULVAC V8-100 ULVAC V8-100 ULVAC爱发科 V8-100 1998-2000 6'Furance 国外
ADE 9500 ADE 9500 ADE 9500 - Multifunctional measurement 国外
AMAT Vera SEM 3D测量系统 AMAT Vera SEM 3D测量系统 AMAT应用材料 Vera SEM 3D - Metrology 国外
AMAT Vera SEM 3D测量系统 AMAT Vera SEM 3D测量系统 AMAT应用材料 Vera SEM 3D - Metrology 国外
TEL Telius SP 12 TEL Telius SP 12" TEL Telius SP - Dry Etch 国外
TEL SCCM TE 12 TEL SCCM TE 12" TEL SCCM TE - Dry Etch 国外
DISCO DFL7160激光切割机 DISCO DFL7160激光切割机 DISCO DFL7160 2012 Laser saw 国外
Oxford PlasmaLab 80 Plus Oxford PlasmaLab 80 Plus OXFORD牛津 PlasmaLab 80 Plus - PECVD 国外
EBARA EPO 222T CMP EBARA EPO 222T CMP EBARA EPO-222T 2004 12" 国内
ASML AT1150C光刻机 ASML AT1150C光刻机 ASML AT-1150C 2004 Stepper 12" 国内
LTX CREDENCE Fusion CX LTX CREDENCE Fusion CX LTX CREDENCE Fusion CX - Mixed signal tester 国外
Rudolph NSX-105 (Parts machine) 8 Rudolph NSX-105 (Parts machine) 8 Rudolph NSX-105 (Parts machine) 2008 Inspection 国外
TEL TE-8401 8 TEL TE-8401 8" TEL TE-8401 1996 Dry Etcher 国外
Rudolph AXI-935晶圆检测仪 Rudolph AXI-935晶圆检测仪 Rudolph AXI-935 2007 12寸,设备在台湾. 国内
KLA-Tencor M-Gage 300 KLA-Tencor M-Gage 300 KLA科磊 M-Gage 300 2001 Al Thickness measurement 8寸 国外
CANON-ANELVA ILD-4100SR 8 CANON-ANELVA ILD-4100SR 8 CANON ILD-4100SR 1996 OX Dry Etcher 国外
Rudolph FE-Ⅶ Rudolph FE-Ⅶ Rudolph FE-Ⅶ 2002 Thickness Measurement 国外
Rudolph FE-Ⅳ Rudolph FE-Ⅳ Rudolph FE-Ⅳ 1995 Thickness Measurement 国外
NIKON NSR S204B(激光器是giga) NIKON NSR S204B(激光器是giga) NIKON NSR-S204B - Manufacturer : NIKON
Inline_Co
国外
NIKON NSR 2205i11D光刻机 NIKON NSR 2205i11D光刻机 NIKON NSR-2205i11D 1997.1 木制包装和运输由买方承担
发货后 90 天零件保修
国外
NIKON NSR 2205i14E2光刻机 NIKON NSR 2205i14E2光刻机 NIKON NSR-2205i14E2 - 8英寸晶圆,没有任何缺件,正常工作的设备. 已售出
KLA Surfscan SP1 TBI晶圆检测系统 KLA Surfscan SP1 TBI晶圆检测系统 KLA科磊 SP1-TBI - 有两台 国外
Aixtron Aix 2600G3 HT MOCVD设备 Aixtron Aix 2600G3 HT MOCVD设备 Aixtron Aix 2600G3 HT 2006 The owner of this Aixtron 2600G3 国外
DNS SU3200 DNS SU3200 DNS SU3200 2014 1)1)DNS SU3200 Scrubber 1set
国外
TEL MARK7涂胶显影机 TEL MARK7涂胶显影机 TEL MARK7 - 2C 3D生产线工作条件 国外
TEL MARK8涂胶显影机 TEL MARK8涂胶显影机 TEL MARK8 - 1C 2D原样仓库 国外
NIKON NSR 2205i11D光刻机 NIKON NSR 2205i11D光刻机 NIKON NSR-2205i11D - 生产线工作条件 国外
ACCRETECH AWD-100A ACCRETECH AWD-100A ACCRETECH东京精密 AWD-100A 2001 2001年 8" 国外
DNS SU3100清洗设备 DNS SU3100清洗设备 DNS SU-3100 - 无HDD系统 国外
DISCO DFD6360切割机 DISCO DFD6360切割机 DISCO DFD6360 2004 有2台可竞价 国外
Varian E500 EHP离子注入机 Varian E500 EHP离子注入机 Varian E500 EHP 2000.10 1、维护良好,位于欧洲
2、安装在洁净室,准备拆卸
3、按“原
国外
HITACHI S-4700扫描电子显微镜 HITACHI S-4700扫描电子显微镜 HITACHI S-4700 - 扫描电子显微镜(SEM),传输板不起作用. 国外
TEL LITHIUS清洗设备 TEL LITHIUS清洗设备 TEL LITHIUS 2003.12 韩国价 国外
DNS SU-3000清洗设备 DNS SU-3000清洗设备 DNS SU-3000 2006.10 有12个部分详细照片(含到国内价格) 国外
LTX CREDENCE KALOS XW内存测试仪 LTX CREDENCE KALOS XW内存测试仪 LTX CREDENCE KALOS XW 2004 Credence KALOS XW 20台 国外
AMAT P5000刻蚀机 AMAT P5000刻蚀机 AMAT应用材料 P5000 1995 2 chambers CVD
3 chambers CVD
国外
TEL SP-308蚀刻去胶设备 TEL SP-308蚀刻去胶设备 TEL SP-308 2007 按现状,有3台 国外
DISCO DFL7340全自动激光切割机 DISCO DFL7340全自动激光切割机 DISCO DFL7340 2010 Laser dicing saw 2010 vintage. 国外
Aixtron Aix 2600G3 HT MOCVD设备 Aixtron Aix 2600G3 HT MOCVD设备 Aixtron Aix 2600G3 HT 2006 III-N Process
Planetary reactor
国外
DISCO DTU1531冷水机 DISCO DTU1531冷水机 DISCO DTU1531 2005/2006 有2台 国外
ACCRETECH TSK 300TX探针台 ACCRETECH TSK 300TX探针台 ACCRETECH东京精密 300TX 2010 - 国外
ACCRETECH TSK 3000T探针台 ACCRETECH TSK 3000T探针台 ACCRETECH东京精密 3000T 2012 韩国 国外
ULVAC SRH-420Z溅射台 ULVAC SRH-420Z溅射台 ULVAC爱发科 SRH-420Z - - 国外
ULVAC SRH-420Z溅射台 ULVAC SRH-420Z溅射台 ULVAC爱发科 SRH-420Z - - 国外
AMAT Centura 5200刻蚀机 AMAT Centura 5200刻蚀机 AMAT Centura 5200 - CVD System,6"(3)Chambers. 国外
AMAT APPLIED MATERIALS Centura AP Minos Polysili AMAT APPLIED MATERIALS Centura AP Minos AMAT应用材料 Centura AP Minos Polysili - - 国内
NIKON NSR 1505G7E光刻机 NIKON NSR 1505G7E光刻机 NIKON NSR-1505G7E 1990 NIKON NSR 1505 G7E Stepper, 6"
R
国外
DISCO DFD6360切割机 DISCO DFD6360切割机 DISCO DFD6360 2004 Dicing saw, 12" 已售出
NIKON NSR 2205i12C光刻机 NIKON NSR 2205i12C光刻机 NIKON NSR-2205i12C 1997 6"/150mm 翻新 国内
KLA Surfscan SP1 TBI晶圆检测系统 KLA Surfscan SP1 TBI晶圆检测系统 KLA科磊 SP1-TBI 2000 Kla-tencor One Technology Driveu 已售出
自动撕金机(剥离机) 自动撕金机(剥离机) ASAP公司 LOA24-900-10 2012-11-01 260台设备可打包或单独出售 国内
CPM有机清洗机 CPM有机清洗机 新耘实业股份有限公司 SB-155S 2014-11-01 260台设备可打包或单独出售 国内
显影机 显影机 CND PLUS CO.,LTD David 2010-08-01 260台设备可打包或单独出售 国内
匀胶机 匀胶机 CND PLUS CO.,LTD David 2010-08-01 260台设备可打包或单独出售 国内
氧气柜 氧气柜 合肥真萍电子科技 2011-08-01 260台设备可打包或单独出售 国内
氧气柜 氧气柜 上海源拓净化设备 2011-08-01 260台设备可打包或单独出售 国内
氧气柜 氧气柜 上海源拓净化设备 2011-08-01 260台设备可打包或单独出售 国内
氧气柜 氧气柜 上海源拓净化设备 2011-08-01 260台设备可打包或单独出售 国内
氧气柜 氧气柜 上海源拓净化设备 2011-08-01 260台设备可打包或单独出售 国内
氧气柜 氧气柜 上海源拓净化设备 2011-08-01 260台设备可打包或单独出售 国内
氧气柜 氧气柜 上海源拓净化设备 2011-08-01 260台设备可打包或单独出售 国内
OLYMPUS SZ51显微镜 OLYMPUS SZ51显微镜 OLYMPUS奥林巴斯 SZ51 2015-03-28 260台设备可打包或单独出售 国内
OLYMPUS SZ51显微镜 OLYMPUS SZ51显微镜 OLYMPUS奥林巴斯 SZ51 2009-08-01 260台设备可打包或单独出售 国内
氧气柜 氧气柜 上海源拓净化设备 2011-08-01 260台设备可打包或单独出售 国内
电热鼓风烤箱 电热鼓风烤箱 JS-DHK9203 2018-07-04 260台设备可打包或单独出售 国内
防震台 防震台 上海微 2019-04-30 260台设备可打包或单独出售 国内
深圳锐拓设备一批 深圳锐拓设备一批 2016-03-01 260台设备可打包或单独出售 国内
电热鼓风烤箱 电热鼓风烤箱 JS-DHK9203 2018-07-04 260台设备可打包或单独出售 国内
自动撕金机 自动撕金机 - LOA6-220 2016-03-01 260台设备可打包或单独出售 国内
DISCO DFL7340全自动激光切割机 DISCO DFL7340全自动激光切割机 DISCO DFL7340 2011-08-01 260台设备可打包或单独出售; 已售出
DISCO DFL7340全自动激光切割机 DISCO DFL7340全自动激光切割机 DISCO DFL7340 2011-11-01 260台设备可打包或单独出售; 已售出
LAM TCP9400氮化镓刻蚀机 LAM TCP9400氮化镓刻蚀机 LAM泛林 TCP9400(备用机) 2018-12-24 260台设备可打包或单独出售; 已售出
DISCO DFL7340全自动激光切割机 DISCO DFL7340全自动激光切割机 DISCO DFL7340 2011-03-01 260台设备可打包或单独出售; 已售出
DISCO DFL7340全自动激光切割机 DISCO DFL7340全自动激光切割机 DISCO DFL7340 2011-08-01 260台设备可打包或单独出售; 已售出
DISCO DFL7340全自动激光切割机 DISCO DFL7340全自动激光切割机 DISCO DFL7340 2011-08-01 260台设备可打包或单独出售; 已售出
SAMCO RIE-331IPC刻蚀机 SAMCO RIE-331IPC刻蚀机 SAMCO RIE-331IPC (33A2910) 2014-05-01 260台设备可打包或单独出售 国内
DISCO DFL7340全自动激光切割机 DISCO DFL7340全自动激光切割机 DISCO DFL7340 2011-08-01 260台设备可打包或单独出售; 已售出
隐形激光划片机 隐形激光划片机 SLS-200 2017-03-01 260台设备可打包或单独出售 国内
DISCO DFL7340全自动激光切割机 DISCO DFL7340全自动激光切割机 DISCO DFL7340 2011-08-01 260台设备可打包或单独出售; 已售出
全自动制片机(单焦点) 全自动制片机(单焦点) 大族激光科技产业集团股 DSI9288-L 2018-07-04 260台设备可打包或单独出售 国内
氧化狄标准样片 氧化狄标准样片 芜湖市捷源机电设备有限 666-F1 2019-04-30 260台设备可打包或单独出售 国内
自动裂片机 自动裂片机 宏腾科技股份有限公司 WB-1100HE 2013-02-01 260台设备可打包或单独出售 国内
自动裂片机 自动裂片机 宏腾科技股份有限公司 WB-1100HE 2011-12-01 260台设备可打包或单独出售 国内
WB-1100HE裂片机 WB-1100HE裂片机 宏腾科技股份有限公司 WB-1100HE 2011-12-01 260台设备可打包或单独出售 国内
LED晶圆全自动劈裂机 LED晶圆全自动劈裂机 GTA GT-100 2014-10-01 260台设备可打包或单独出售 国内
全自动点测机-晶圆点测机 全自动点测机-晶圆点测机 Innobize (Z-AWP1000 (IZ-D1102-001) 2014-05-01 260台设备可打包或单独出售 国内
全自动减薄机 全自动减薄机 苏州思普新科技有限公司 NSG-1100V(SSG-13V-12004) 2012-08-27 260台设备可打包或单独出售 国内
全自动研磨机 全自动研磨机 苏州恩普斯科技有双公司 NSG-2020(SSL-2BS-11012) 2012-05-14 260台设备可打包或单独出售 国内
全自动研磨机 全自动研磨机 苏州恩普斯科技有双公司 NSL-2020(SSL-2BS-11016) 2012-08-07 260台设备可打包或单独出售 国内
ITO酸槽清洗机 ITO酸槽清洗机 新耘实业股份有限公司 WS-150-ITO 2014-11-04 260台设备可打包或单独出售 国内
Reworidtt槽清洗机 Reworidtt槽清洗机 新耘实业股份有限公司 WS-150-REWORK 2014-11-04 260台设备可打包或单独出售 国内
显影机Developer 显影机Developer SVS MSX1OOO(101052) 2010-08-01 260台设备可打包或单独出售 国内
显影机Deveioper 显影机Deveioper SVS MSX1OOO(1O1051) 2010-08-01 260台设备可打包或单独出售 国内
有机自动清洗机 有机自动清洗机 ATIS公司 MAGIC-452S 2014-11-01 260台设备可打包或单独出售 国内
立体显微镜 立体显微镜 SZ51 2019-06-11 260台设备可打包或单独出售 国内
体式显微镜 体式显微镜 奥林巴斯株式会社 SZ51 2019-06-11 260台设备可打包或单独出售 国内
体式显微镜 体式显微镜 奥林巴斯株式会社 SZ51 2019-06-11 260台设备可打包或单独出售 国内
同轴光源显微镜 同轴光源显微镜 SZ51 2019-06-11 260台设备可打包或单独出售 国内
同轴光源显微镜 同轴光源显微镜 SZ51 2019-06-11 260台设备可打包或单独出售 国内
同轴光源显微镜 同轴光源显微镜 SZ51 2019-06-11 260台设备可打包或单独出售 国内
同轴光源显微镜 同轴光源显微镜 SZ51 2019-06-11 260台设备可打包或单独出售 国内
同轴光源显微镜 同轴光源显微镜 SZ51 2019-06-11 260台设备可打包或单独出售 国内
同轴光源显微镜 同轴光源显微镜 SZ51 2019-06-11 260台设备可打包或单独出售 国内
同轴光源显微镜 同轴光源显微镜 SZ51 2019-06-11 260台设备可打包或单独出售 国内
同轴光源显微镜 同轴光源显微镜 SZ51 2019-06-11 260台设备可打包或单独出售 国内
同轴光源显微镜 同轴光源显微镜 SZ51 2019-06-11 260台设备可打包或单独出售 国内
电加热尾气处理器 电加热尾气处理器 BR-4 2019-04-30 260台设备可打包或单独出售 国内
电加热尾气处理器 电加热尾气处理器 BR-4 2019-04-30 260台设备可打包或单独出售 国内
EKC有机清洗机 EKC有机清洗机 新耘实业股份有限公司 WS-150-ACE+EKC K014O70O267 2014-11-01 260台设备可打包或单独出售 国内
倒装焊接设备 倒装焊接设备 panasonlc MDP200-GGI 2016-04-01 260台设备可打包或单独出售 国内
LED晶粒外观检查机 LED晶粒外观检查机 政美应用股份有限公司 DISA-T3H 2014-12-01 260台设备可打包或单独出售 国内
ACE有机清洗机 ACE有机清洗机 新耘实业股份有限公司 SB-155S - 260台设备可打包或单独出售 国内
自动目测机 自动目测机 IMS LVIS-V 2012-12-15 260台设备可打包或单独出售 国内
自动目测机 自动目测机 IMS LVIS-V 2012-12-15 260台设备可打包或单独出售 国内
隐形激光划片机 隐形激光划片机 QMC CO,Ltd PLS-600 2014-09-01 260台设备可打包或单独出售 国内
隐形激光划片机 隐形激光划片机 QMC CO,Ltd PLS-600 2014-09-01 260台设备可打包或单独出售 国内
高温硫磷酸加热设备 高温硫磷酸加热设备 ATIS公司 SHT-UHS72 2009-09-01 260台设备可打包或单独出售 国内
高温手动清洗设备 高温手动清洗设备 AT1S公司 JA1206 2009-09-01 260台设备可打包或单独出售 国内
化学镀金机 化学镀金机 ATIS公司 2010-11-01 260台设备可打包或单独出售 国内
ULVAC EI-OPI085光学膜蒸发镀膜设备(背镀) ULVAC EI-OPI085光学膜蒸发镀膜设备(背镀) ULVAC爱发科 EI-OPI085 2010-12-01 260台设备可打包或单独出售 国内
全自动晶圆软抛机 全自动晶圆软抛机 苏州恩普斯科技有限公司 NSC-4036(SMC-4ES-12004) 2012-10-10 260台设备可打包或单独出售 国内
Innobize	IZ-HAS1000 LED Sorter Innobize IZ-HAS1000 LED Sorter Innobize IZ-HAS1000 2012-12-15 260台设备可打包或单独出售 国内
杰特 JTE-M100全自动洗碗机 杰特 JTE-M100全自动洗碗机 四川杰特机器有限公司 JTE-M100 2015-08-01 260台设备可打包或单独出售 国内
防震台 防震台 协伟集成电路设备(上海 2550*1950*610mm 2015-08-01 260台设备可打包或单独出售 国内
防震台 防震台 协伟集成电路设备(上海 2550*1950*610mm 2015-08-01 260台设备可打包或单独出售 国内
镀锅 镀锅 上海佛裕商贸有限公司 2016-11-01 260台设备可打包或单独出售 国内
防震台 防震台 协伟集成电路设备(上海 1800*1650*610mm 2016-06-01 260台设备可打包或单独出售 国内
防震台 防震台 协伟集成电路设备(上海 1800*1650*610mm 2016-06-01 260台设备可打包或单独出售 国内
电热水洗式废气处理器 电热水洗式废气处理器 上海兄弟微电子技术有限 ISIS-1 2017-09-01 260台设备可打包或单独出售 国内
裂片机 裂片机 宏腾科技股份有限公司 WB-1100HE 2011-12-01 260台设备可打包或单独出售 国内
自动抽测机 自动抽测机 旺矽科技股份有限公司 IEDA-8F P7202 2012-01-01 260台设备可打包或单独出售 国内
前置盒/ESD8KV 前置盒/ESD8KV 旺矽科技股份有限公司 前置盒ESD8KV 2016-08-01 260台设备可打包或单独出售 国内
Innobize IZ-HAS1000 LED Sorter Innobize IZ-HAS1000 LED Sorter Innobize IZ-HAS1000 2011-06-01 260台设备可打包或单独出售 国内
LED PROBER LED PROBER Innobize IZ-AWP1000 2011-06-01 260台设备可打包或单独出售 国内
LED PROBER LED PROBER Innobize IZ-AWP1000 2011-06-01 260台设备可打包或单独出售 国内
LED PROBER LED PROBER Innobize IZ-AWP1000 2011-06-01 260台设备可打包或单独出售 国内
LED PROBER LED PROBER Innobize IZ-AWP1000 2011-06-01 260台设备可打包或单独出售 国内
LED PROBER LED PROBER Innobize IZ-AWP1000 2011-06-01 260台设备可打包或单独出售 国内
LED PROBER LED PROBER Innobize IZ-AWP1000 2011-06-01 260台设备可打包或单独出售 国内
LED PROBER LED PROBER Innobize IZ-AWP1000 2011-06-01 260台设备可打包或单独出售 国内
LED PROBER LED PROBER Innobize IZ-AWP1000 2011-06-01 260台设备可打包或单独出售 国内
LED PROBER LED PROBER Innobize IZ-AWP1000 2011-06-01 260台设备可打包或单独出售 国内
全自动减薄机 全自动减薄机 苏州恩普斯科技有限公司 GV320/PC-VDM(NT-NB0007) 2011-01-08 260台设备可打包或单独出售 国内
GV320/PC-VDM全自动减薄机 GV320/PC-VDM全自动减薄机 苏州恩普斯科技有限公司 2010-10-25 260台设备可打包或单独出售 国内
全自动晶圆软抛机 全自动晶圆软抛机 苏州恩普斯科技有限公司 NSC-4036(SMC-4ES-12005) 2012-10-10 260台设备可打包或单独出售 国内
自动目检机 自动目检机 IMS LVIS-V 2014-05-01 260台设备可打包或单独出售 国内
自动目检机 自动目检机 IMS LVIS-V 2014-05-01 260台设备可打包或单独出售 国内
快速退火炉 快速退火炉 YEST.co.kr YIT-4061 2012-08-01 260台设备可打包或单独出售 国内
返工清洗机 返工清洗机 ATIS公司 MAGIC-44M(A0101114) 2009-09-01 260台设备可打包或单独出售 国内
快速退火炉 快速退火炉 YEST.co.kr YIT-4O61 2010-12-01 260台设备可打包或单独出售 国内
有机自动清洗台 有机自动清洗台 ATIS公司 Magic-431(A0100903(TTO)) 2009-09-01 260台设备可打包或单独出售 国内
ATIS EKC422S有机清洗机 ATIS EKC422S有机清洗机 ATIS公司 EKC422S(A0120709) 2009-09-01 260台设备可打包或单独出售 国内
4KV ESD模组(16/6/16) 4KV ESD模组(16/6/16) MPI Corporation L910ASESD000200 2016-08-01 260台设备可打包或单独出售 国内
4KV ESD模组(16/6/16) 4KV ESD模组(16/6/16) MPI Corporation L910ASESD000200 2016-08-01 260台设备可打包或单独出售 国内
4KV ESD模组(16/6/16) 4KV ESD模组(16/6/16) MPI Corporation L910ASESD000200 2016-08-01 260台设备可打包或单独出售 国内
THY模组 THY模组 MPI Corporation L910AST20000780 2016-08-01 260台设备可打包或单独出售 国内
THY模组 THY模组 MPI Corporation L910AST20000780 2016-08-01 260台设备可打包或单独出售 国内
THY模组 THY模组 MPI Corporation L910AST20000780 2016-08-01 260台设备可打包或单独出售 国内
THY模组 THY模组 MPI Corporation L910AST20000780 2016-08-01 260台设备可打包或单独出售 国内
THY模组 THY模组 MPI Corporation L910AST20000780 2016-08-01 260台设备可打包或单独出售 国内
THY模组 THY模组 MPI Corporation L910AST20000780 2016-08-01 260台设备可打包或单独出售 国内
K2611B-L电流源(keithley) K2611B-L电流源(keithley) MPI Corporation L100EWPT2000451 2016-08-01 260台设备可打包或单独出售 国内
K2611B-L电流源(keithley) K2611B-L电流源(keithley) MPI Corporation L100EWPT2000451 2016-08-01 260台设备可打包或单独出售 国内
K2611B-L电流源(keithley) K2611B-L电流源(keithley) MPI Corporation L100EWPT2000451 2016-08-01 260台设备可打包或单独出售 国内
K2611B-L电流源(keithley) K2611B-L电流源(keithley) MPI Corporation L100EWPT2000451 2016-08-01 260台设备可打包或单独出售 国内
K2611B-L电流源(keithley) K2611B-L电流源(keithley) MPI Corporation L100EWPT2000451 2016-08-01 260台设备可打包或单独出售 国内
K2611B-L电流源(keithley) K2611B-L电流源(keithley) MPI Corporation 100EWPT2000451 2016-08-01 260台设备可打包或单独出售 国内
防震台 防震台 协伟集成电路设备-上海 2550*1950*720mm 2015-08-01 260台设备可打包或单独出售 国内

页次: 10 / 21页 每页:200 设备数:4137   9[1][2][3][4][5][6][7][8][9][10]8: 总共有21页

二手半导体设备买卖-二手半导体设备翻新-二手半导体设备交易平台semi1688.com
城市分站:北京龙玺精密 | 上海龙玺精密 | 深圳龙玺精密 | 成都龙玺精密 | 天津 | 香港 | 澳门 | 安徽 | 福建 | 广东 | 广西 | 贵州 | 甘肃 | 海南 | 河北 | 河南 | 黑龙江 | 湖北 | 湖南 | 吉林 | 江苏 | 江西 | 辽宁 | 内蒙古 | 宁夏 | 青海 | 陕西 | 山西 | 山东 | 四川 | 台湾 | 西藏 | 新疆 | 云南 | 浙江 | japan longxi | korea longxi | USA longxi
 
关于我们 | 产品中心 | 新闻资讯 | 非标定制 | 技术服务 | 联系我们 | 网站地图 | 二手半导体设备采购平台
地址:宁波市奉化区东峰路80号or江苏徐州市贾汪区工业园 电话:18868521984龙先生
邮箱:ljb929@126.com 注:设备状态不定期更新,是否已售出请咨询。
    设备咨询,请加我微信
Copyright © 2019-2024 龙玺精密 版权所有 备案号:浙ICP备2021036227号
VGF单晶炉|高压VGF单晶炉|VTM单晶炉|SIC单晶炉|多晶合成炉|平面抛光机|平面研磨机|双面研磨机和抛光机|曲面抛光机|槽式清洗机|第二代半导体材料全自动清洗机|GASS立式甩干机|多工位甩干机|高温退火炉|低温立式炉|ALD原子层沉积设备|真空封焊炉|磁控溅射镀膜机|高真空多靶磁控溅射镀膜机|卷绕镀膜系统|电阻蒸发镀膜机|电子束蒸发镀膜机|机械手定制|手套箱|晶体生长设备|研磨抛光设备|材料清洗设备|真空镀膜设备|其他定制设备|二手半导体设备|半导体二手设备|二手半导体设备交易|二手半导体设备市场|二手半导体设备平台|二手半导体设备网站|二手半导体设备公司|二手半导体设备翻新|二手半导体设备维护|二手半导体设备进口|二手半导体设备回收|国外二手半导体设备|国内二手半导体设备|二手半导体设备供应商|二手半导体设备交易平台|二手半导体设备交易平台semi1688.com|二手半导体设备采购平台semi1688.com|切割机|划片机|光刻机|检测仪|测试仪|扫描电镜|蚀刻机|探针台|二手半导体设备|二手CANON设备|二手NIKON设备|二手DISCO设备|二手ASML设备|二手AMAT设备|二手TEL设备|二手LAM设备|二手KLA设备|二手Semics设备|二手Hitachi设备
VGF单晶炉|高压VGF单晶炉|VTM单晶炉|SIC单晶炉|多晶合成炉|平面抛光机|平面研磨机|双面研磨机|双面抛光机|曲面抛光机|槽式清洗机|第二代半导体材料全自动清洗机|GASS立式甩干机|多工位甩干机|高温退火炉|低温立式炉|ALD原子层沉积设备|真空封焊炉|磁控溅射镀膜机|高真空多靶磁控溅射镀膜机|卷绕镀膜系统|电阻蒸发镀膜机|电子束蒸发镀膜机|机械手|手套箱|晶体生长设备|研磨抛光设备|材料清洗设备|真空镀膜设备|其他定制设备|龙玺精密|国内二手设备|国外二手设备|二手半导体设备|二手设备买卖|二手设备翻新|二手设备回收|CANON二手设备|NIKON二手设备|DISCO二手设备|ASML二手设备|AMAT二手设备|TEL二手设备|LAM二手设备|KLA二手设备|SEMICS二手设备|HITACHI二手设备|芯片|晶圆|封装|半导体|切割机|划片机|光刻机|检测仪|测试仪|半导体设备|半导体封装|扫描电镜|蚀刻机|探针台|扩散炉