二手半导体设备交易平台semi1688.com
首页 CANON NIKON DISCO ASML AMAT TEL LAM KLA SEMICS HITACHI YOKOGAWA
图 片 设备名称 制造商 型号 年份 详细配置 状 态
追踪检查装置 追踪检查装置 OHT TTS-02 2004 设备名称:追踪检查装置
型号:TTS-02
制造商:OHT
国外
回流炉-远红外台式回流焊装置 回流炉-远红外台式回流焊装置 日本脉冲技术研究所 RF-330 2008 设备名称:回流炉
型号:RF-330
制造商:⽇
国外
钠灯 传输用复合照明装置 钠灯 传输用复合照明装置 同润光机 KLTFNL-F404N18 2008 设备名称:钠灯传输⽤复合照明装置
型号:KLTFN
国外
大和材料	PiPi等离子处理设备 大和材料 PiPi等离子处理设备 大和材料 PiPi 2016 设备名称:等离⼦处理设备
型号:PiPi
制造商
国外
MKS ENI	RPG-50A直流电源脉冲直流等离子发生器 MKS ENI RPG-50A直流电源脉冲直流等离子发生器 MKS ENI RPG-50A 2008 设备名称:直流电源脉冲直流等离⼦发⽣器 国外
长野科学CH43-W14P恒温槽 长野科学CH43-W14P恒温槽 长野科学 CH43-W14P 2008 设备名称:恒温槽
型号:CH43-W14P
制造商:w
国外
玻璃破碎机 玻璃破碎机 Joyo/Engineering JKB-500M-A-1 2004 设备名称:玻璃破碎机
型号:JKB-500M-A-1
制造商:
国外
Electronic Plaza 2220高压等离子体装置 Electronic Plaza 2220高压等离子体装置 Electronic Plaza 2220 2010 设备名称:⼤⽓压等离⼦体 国外
ACF粘贴机 ACF粘贴机 SIC NAL02-10 2005 设备名称:ACF粘贴机
型号:NAL02-10
制造商:SIC
国外
FUSION UV DRW-116Q-G紫外线照射装置 FUSION UV DRW-116Q-G紫外线照射装置 FUSION UV/SYSTEM DRW-116Q-G 2013 - 国外
SII SFT9200 X射线荧光膜测厚仪 SII SFT9200 X射线荧光膜测厚仪 SII精工仪器 SFT9200 2003 设备名称:X射线荧光膜测厚仪
型号:SFT9200
制造商:S
国外
Nagase Integrex NSF-600平面磨床 Nagase Integrex NSF-600平面磨床 Nagase Integrex NSF-600 2017 设备名称:平⾯磨床超精密磨床超精密恒压定量复合控制磨 国外
本⽥电⼦	W-100-HFMKⅡ超声波清洗机 本⽥电⼦ W-100-HFMKⅡ超声波清洗机 本⽥电⼦ W-100-HFMKⅡ 2001 设备名称:超声波清洗机
型号:W-100-HFMKⅡ
年份:2
国外
测⼒计载荷测量推拉⼒计 测⼒计载荷测量推拉⼒计 爱科工程 MODEL-1605ⅡV 2007 设备名称:测⼒计载荷测量推拉⼒计
国外
RF电源 RF电源 珍珠工业 RP-1000-13M 1999 设备名称:RF电源
型号:RP-1000-13M
年份:199
国外
红外分光光度计 红外分光光度计 日本光谱 FT/IR-4100 IRT-5000 - 设备名称:红外分光光度计
型号:FT/IR-4100 IRT-5
国外
AOI检查设备板外观检查设备 AOI检查设备板外观检查设备 INSPEC SX5300 2017 设备名称:AOI 检查设备板外观检查设备
型号:SX5300
国外
低温泵 低温泵 HELIX CTI螺旋 ON-BOARD 8F - 设备名称:低温泵
型号:ON-BOARD 8F
类别:真空
国外
STEC V-40高精度精密薄膜流量计 STEC V-40高精度精密薄膜流量计 STEC V-40 - 设备名称:薄膜流量计(测量单元)⾼精度精密薄膜流量计 国外
射频电源套装产品 射频电源套装产品 京三 RFK75Z - 设备名称:射频电源套装产品
型号:RFK75Z
制造商:京三
国外
光源紫外线照射装置冷光 光源紫外线照射装置冷光 莫⾥泰克斯 MUV-250U-L - 设备名称:光源紫外线照射装置冷光
型号:MUV-250U-L
国外
ACCRETECH UF200探针台 ACCRETECH UF200探针台 ACCRETECH东京精密 UF200 2000 TEST 国外
ACCRETECH UF200探针台 ACCRETECH UF200探针台 ACCRETECH东京精密 UF200 - TEST 国外
ACCRETECH UF200探针台 ACCRETECH UF200探针台 ACCRETECH东京精密 UF200 1998 TEST 国外
ACCRETECH UF200A探针台 ACCRETECH UF200A探针台 ACCRETECH东京精密 UF200A 2003 TEST 国外
ACCRETECH UF200SA探针台 ACCRETECH UF200SA探针台 ACCRETECH东京精密 UF200SA 2005 TEST 国外
ACCRETECH UF200SA探针台 ACCRETECH UF200SA探针台 ACCRETECH东京精密 UF200SA 2005 TEST 国外
ACCRETECH UF200SA探针台 ACCRETECH UF200SA探针台 ACCRETECH东京精密 UF200SA 2004 TEST 国外
ACCRETECH UF3000探针台 ACCRETECH UF3000探针台 ACCRETECH东京精密 UF3000 2006 TEST 国外
ACCRETECH UF3000EX探针台 ACCRETECH UF3000EX探针台 ACCRETECH东京精密 UF3000EX 2014 TEST 国外
ADVANTEST 93000 C200e ADVANTEST 93000 C200e ADVANTEST (Verigy) 93000 C200e 2007 TEST 国外
Agilent 4072A Agilent 4072A Agilent 4072A 2004 TEST 国外
Agilent 4073A Agilent 4073A Agilent 4073A 2002 TEST 国外
Agilent 4142B Agilent 4142B Agilent 4142B 2000 TEST 国外
AMAT AKT-3500 AMAT AKT-3500 AMAT应用材料 AKT-3500 2018 CVD 国外
AMAT Centura DPS AMAT Centura DPS AMAT应用材料 Centura DPS 1998 ETCH 国外
AMAT Centura DXZ AMAT Centura DXZ AMAT应用材料 Centura DXZ 1999 CVD 国外
AMAT Centura MXP AMAT Centura MXP AMAT应用材料 Centura MXP 1997 ETCH 国外
AMAT Centura XE AMAT Centura XE AMAT应用材料 Centura XE 2003 RTP 国外
AMAT Centura XE+ AMAT Centura XE+ AMAT应用材料 Centura XE+ 2003 RTP 国外
AMAT P-5000刻蚀机 AMAT P-5000刻蚀机 AMAT应用材料 P-5000 1990 CVD 国外
ASM Eagle10 PLASMA CVD ASM Eagle10 PLASMA CVD ASM Eagle10 1998 CVD 国外
ASM Eagle10 PLASMA CVD ASM Eagle10 PLASMA CVD ASM Eagle10 2007 CVD 国外
AP-CVD AP-CVD Aviza WJ-1000H 1996 CVD 国外
CANON	HP-8800 CANON HP-8800 CANON HP-8800 2001 RTP 国外
CANON	MAS-8200 CANON MAS-8200 CANON MAS-8200 - ETCH 国外
CANON MAS-8220 CANON MAS-8220 CANON MAS-8220 - ETCH 国外
CANON MPA-600FA投影式光刻机 CANON MPA-600FA投影式光刻机 CANON MPA-600FA 1988 PHOTOLITHO 国外
CANON	I-1060SV2 Plus1 CANON I-1060SV2 Plus1 CANON I-1060SV2 Plus1 1999 PVD 国外
CANON	I-1080 PVD CANON I-1080 PVD CANON I-1080PVD 2001 PVD 国外
CANON	ILC-1080 CANON ILC-1080 CANON ILC-1080 2003 PVD 国外
CANON	M-222LD-D CANON M-222LD-D CANON M-222LD-D 2011 OTHERS 国外
DISCO DAD3350晶圆切割机 DISCO DAD3350晶圆切割机 DISCO DAD3350 - DICING 国外
DISCO DCS141清洗机 DISCO DCS141清洗机 DISCO DCS141 - DICING 国外
DISCO DFD-2S/8 DISCO DFD-2S/8 DISCO DFD-2S/8 - DICING 国外
DISCO DFD6240划片机 DISCO DFD6240划片机 DISCO DFD6240 2004 DICING 国外
DISCO DFD6340全自动切割机 DISCO DFD6340全自动切割机 DISCO DFD6340 2008 DICING 国外
EBARA GDC-250SA EBARA GDC-250SA EBARA GDC-250SA 2012 OTHERS 国外
EBARA UFP-100(150A) EBARA UFP-100(150A) EBARA UFP-100(150A) - PLATING 国外
ESI 9350 ESI 9350 ESI 9350 2004 TEST 国外
FILM STRESS MEASURMENT FILM STRESS MEASURMENT FSM FSM-128 2001 METROLOGY 国外
FILM STRESS MEASURMENT FILM STRESS MEASURMENT FSM FSM-128 - METROLOGY 国外
GSI MARK-EM Wafer Marker GSI MARK-EM Wafer Marker GSI MARK-EM 2002 MARKING 国外
HITACHI IML-6-1 HITACHI IML-6-1 HITACHI IML-6-1 1997 ETCH 国外
HITACHI TS-3700扫描电子显微镜 HITACHI TS-3700扫描电子显微镜 HITACHI TS-3700 1990 OTHERS 国外
HITACHI TS-6500 HITACHI TS-6500 HITACHI TS-6500 2000 OTHERS 国外
HITACHI	 FIB-2100聚焦离子束 HITACHI FIB-2100聚焦离子束 HITACHI FB-2100 2002 METROLOGY 国外
HITACHI	IM4000离子研磨仪 HITACHI IM4000离子研磨仪 HITACHI IM4000 2012 ETCH 国外
HITACHI NE4000扫描电子显微镜 HITACHI NE4000扫描电子显微镜 HITACHI NE4000 2011 METROLOGY 国外
HITACHI S-3400N扫描电子显微镜 HITACHI S-3400N扫描电子显微镜 HITACHI S-3400N 2010 METROLOGY 国外
HITACHI S-3700N扫描电子显微镜 HITACHI S-3700N扫描电子显微镜 HITACHI S-3700N 2012 METROLOGY 国外
HITACHI S-4500扫描电子显微镜 HITACHI S-4500扫描电子显微镜 HITACHI S-4500 1996 METROLOGY 国外
HITACHI S-4800扫描电子显微镜 HITACHI S-4800扫描电子显微镜 HITACHI S-4800 2004 METROLOGY 国外
HITACHI TM3000扫描电子显微镜 HITACHI TM3000扫描电子显微镜 HITACHI TM3000 2012 METROLOGY 国外
KEYENCE ML-Z9500/9510 KEYENCE ML-Z9500/9510 KEYENCE ML-Z9500/9510 2008 MARKING 国外
KLA AITⅡ缺陷检测仪 KLA AITⅡ缺陷检测仪 KLA科磊 AITⅡ 1999 METROLOGY 国外
KLA ARCHER200叠对测量系统 KLA ARCHER200叠对测量系统 KLA科磊 ARCHER200 2009 METROLOGY 国外
KLA EDR-5210S晶圆缺陷检查系统 KLA EDR-5210S晶圆缺陷检查系统 KLA科磊 EDR-5210S 2011 METROLOGY 国外
KLA Tencor UV-1280SE薄膜测量系统 KLA Tencor UV-1280SE薄膜测量系统 KLA科磊 UV1280SE 2003 METROLOGY 国外
LIFETIME MEASURING LIFETIME MEASURING KOBELCO LTA-500 1996 METROLOGY 国外
LIFETIME MEASURING LIFETIME MEASURING KOBELCO LTA-550 1991 METROLOGY 国外
WIRE BONDER WIRE BONDER Kulicke & Soffa Maxum ultra 2008 BONDING 国外
WIRE BONDER WIRE BONDER Kulicke & Soffa Maxum ultra 2008 BONDING 国外
LAM  ONE-W PLASMA CVD_W LAM ONE-W PLASMA CVD_W LAM泛林 ONE-W 1995 CVD 国外
LAM TWO PLASMA CVD LAM TWO PLASMA CVD LAM泛林 TWO 2000 CVD 国外
LAM TWO Speed PLASMA CVD LAM TWO Speed PLASMA CVD LAM泛林 TWO Speed 2000 CVD 国外
LAM RST201刻蚀机 LAM RST201刻蚀机 LAM泛林 RST201 1996 WET 国外
LAM RST201刻蚀机 LAM RST201刻蚀机 LAM泛林 RST201 1997 WET 国外
LAM SEZ203晶圆旋转刻蚀机 LAM SEZ203晶圆旋转刻蚀机 LAM泛林 SEZ203 2005 WET 国外
LINTEC RAD-2000M LINTEC RAD-2000M LINTEC RAD-2000M 2005 6" DICING 国外
LINTEC RAD-2000M LINTEC RAD-2000M LINTEC RAD-2000M 2006 8" DICING 国外
LINTEC RAD-2500M LINTEC RAD-2500M LINTEC RAD-2500M 2007 8" MOUNTING 国外
Nanometrics M6100膜厚测定装置 Nanometrics M6100膜厚测定装置 Nanometrics M6100 1998 METROLOGY 国外
Nanometrics NanoSpec9200 Nanometrics NanoSpec9200 Nanometrics NanoSpec9200 2001 METROLOGY 国外
Nanometrics NanoSpec9310 Nanometrics NanoSpec9310 Nanometrics NanoSpec9310 2008 METROLOGY 国外
NIKON NSR 2005i10C光刻机 NIKON NSR 2005i10C光刻机 NIKON NSR-2005i10C 1994 PHOTOLITHO 国外
NIKON NSR 2205EX14C光刻机 NIKON NSR 2205EX14C光刻机 NIKON NSR-2205EX14C 1998 PHOTOLITHO 国外
NIKON NSR 2205EX14C光刻机 NIKON NSR 2205EX14C光刻机 NIKON NSR-2205EX14C 1999 PHOTOLITHO 国外
NIKON NSR 4425i光刻机 NIKON NSR 4425i光刻机 NIKON NSR-4425i 1997 PHOTOLITHO 国外
NuFlare Technology HT2000B NuFlare Technology HT2000B NuFlare Technology HT2000B 2007 CVD 国外
OKAMOTO  SPP-600S GRIND OKAMOTO SPP-600S GRIND OKAMOTO SPP-600S GRIND 1998 CMP 国外
SUPERCRITICAL RINSER&DRYER SUPERCRITICAL RINSER&DRYER Rexxam SCRD6 2008 WET 国外
Rudolph S200ETCH Rudolph S200ETCH Rudolph S200ETCH 2000 METROLOGY 国外
SCREEN AS2000 SCREEN AS2000 SCREEN AS2000 1998 WET 国外
SCREEN AS2000 SCREEN AS2000 SCREEN AS2000 1998 WET 国外
SCREEN AS2000 SCREEN AS2000 SCREEN AS2000 2000 WET 国外
RTA RTA SCREEN LA-830 - RTP 国外
SCREEN SK-80BW-AVQ SCREEN SK-80BW-AVQ SCREEN SK-80BW-AVQ 1998 PHOTOLITHO 国外
WAFER SCRUBBER WAFER SCRUBBER SCREEN SS-W80A-AR 1995 WET 国外
WAFER SCRUBBER WAFER SCRUBBER SCREEN SS-W80A-AR 2000 WET 国外
WAFER SCRUBBER WAFER SCRUBBER SCREEN SS-W80A-AVR 1998 WET 国外
WAFER SCRUBBER WAFER SCRUBBER SCREEN SS-W80A-AVR 2002 WET 国外
FILM THICKNESS MEASUREMENT FILM THICKNESS MEASUREMENT SOPRA GESP5 2010 METROLOGY 国外
MO CVD MO CVD TAIYO NIPPON SANSO SR64212HKS 2010 CVD 国外
AUTOMATIC VISUAL INSPECTION AUTOMATIC VISUAL INSPECTION TAKANO (TOPCON) Vi-4202 2004 METROLOGY 国外
TAKANO WM-5000 TAKANO WM-5000 TAKANO(TOPCON) WM-5000 2005 METROLOGY 国外
TAKATORI AMR-2200G TAKATORI AMR-2200G TAKATORI AMR-2200G - BACK GRIND 国外
TEL ACT8(2C2D)涂胶显影机 TEL ACT8(2C2D)涂胶显影机 TEL ACT8 1998 PHOTOLITHO 国外
TEL	Alpha-8SE DIFFUSION TEL Alpha-8SE DIFFUSION TEL Alpha-8SE 2005 DIFFUSION 国外
TEL	IW-6C FURNACE_LP-CVD TEL IW-6C FURNACE_LP-CVD TEL IW-6C 1994 FURNACE 国外
TEL	MARK7涂胶显影机 TEL MARK7涂胶显影机 TEL MARK7 1993 WET 国外
TEL	MARK8涂胶显影机 TEL MARK8涂胶显影机 TEL MARK8 1999 PHOTOLITHO 国外
TEL	MARK-V涂胶显影机 TEL MARK-V涂胶显影机 TEL MARK-V 1992 PHOTOLITHO 国外
TEL	MARK-VZ涂胶显影机 TEL MARK-VZ涂胶显影机 TEL MARK-VZ 1998 PHOTOLITHO 国外
TEL	P-12XL TEL P-12XL TEL P-12XL 2002 TEST 国外
TEL	P-12XL TEL P-12XL TEL P-12XL 2002 TEST 国外
TEL	P-12XLn TEL P-12XLn TEL P-12XLn 2006 TEST 国外
TEL	P-8XL TEL P-8XL TEL P-8XL 2000 TEST 国外
TEL	P-8XL TEL P-8XL TEL P-8XL 2004 TEST 国外
TEL	P-8XL TEL P-8XL TEL P-8XL 2008 TEST 国外
TEL	SS-4 TEL SS-4 TEL SS-4 2001 WET 国外
TEL	SS-4 TEL SS-4 TEL SS-4 2003 WET 国外
TEL	TACTRAS TEL TACTRAS TEL TACTRAS 2013 ETCH 国外
TEL	TE5000ATC TEL TE5000ATC TEL TE5000ATC 1992 ETCH 国外
TEL	TE8500(S) TEL TE8500(S) TEL TE8500(S) 2000 ETCH 国外
TEL	TE8500(S)ATC TEL TE8500(S)ATC TEL TE8500(S)ATC 1992 ETCH 国外
TEL	TE8500(S)ATC TEL TE8500(S)ATC TEL TE8500(S)ATC 1993 ETCH 国外
TEL	TE8500(S)ATC TEL TE8500(S)ATC TEL TE8500(S)ATC 1995 ETCH 国外
TEL	TE8500ATC TEL TE8500ATC TEL TE8500ATC 1995 ETCH 国外
TEL	Telius SCCM TEL Telius SCCM TEL Telius SCCM 2000 ETCH 国外
TEL	Unity Me 85D TEL Unity Me 85D TEL Unity Me 85D 2003 ETCH 国外
TEL	UnityII-855II TEL UnityII-855II TEL UnityII-855II 1996 ETCH 国外
TEL	UnityII-855II TEL UnityII-855II TEL UnityII-855II 1996 ETCH 国外
TEL	UnityIIe-655II TEL UnityIIe-655II TEL UnityIIe-655II 2002 ETCH 国外
TEL	UnityII-855II TEL UnityII-855II TEL UnityIIe-855II 1997 ETCH 国外
TEL	UnityII-855II TEL UnityII-855II TEL UnityIIe-855II 2002 ETCH 国外
TEL	UnityIIe-855SS TEL UnityIIe-855SS TEL UnityIIe-855SS 2000 ETCH 国外
TEL	UnityIIe-855SS TEL UnityIIe-855SS TEL UnityIIe-855SS 2006 ETCH 国外
ASHER ASHER TOK TCA-3822 1995 ASHER 国外
ASHER ASHER TOK TCA-3822 1995 ASHER 国外
OXIDE ETCHER OXIDE ETCHER TOK TCE-3822 - ETCH 国外
OXIDE ETCHER OXIDE ETCHER TOK TCE-3822 - ETCH 国外
AUTOMATIC VISUAL INSPECTION AUTOMATIC VISUAL INSPECTION TORAY INSPECTRA-3000TR200M 2015 METROLOGY 国外
ULTRATECH Saturn-SS3 ULTRATECH Saturn-SS3 ULTRATECH Saturn-SS3 2001 PHOTOLITHO 国外
ULVAC EI-7L蒸发台 ULVAC EI-7L蒸发台 ULVAC爱发科 EI-7L - PVD 国外
USHIO PE-250R2HK USHIO PE-250R2HK USHIO PE-250R2HK - PHOTOLITHO 国外
USHIO PE-250T2HM USHIO PE-250T2HM USHIO PE-250T2HM - PHOTOLITHO 国外
USHIO UMA-1002-HC933HD激光扫描仪 USHIO UMA-1002-HC933HD激光扫描仪 USHIO UMA-1002-HC933HD 1998 PHOTOLITHO 国外
LDI设备 3波长(wavelength)/6HEAD LDI设备 3波长(wavelength)/6HEAD SCREEN - 2019 Photo Solder 国外
LDI设备 405波长 (wavelength) LDI设备 405波长 (wavelength) ADTECH - 2016 Resist 国外
LDI设备 3波长(wavelength)/5HEAD LDI设备 3波长(wavelength)/5HEAD SCREEN - 2018 DF 国外
LDI设备 多波长(multi wavelength) LDI设备 多波长(multi wavelength) overtech - 2014 PSR/DF 国外
真空层圧设备 真空层圧设备 LEETEK - 2014 DF 国外
自动露光机/平行光 自动露光机/平行光 Hakuto - 2009 DF 国外
自动露光机/平行光 自动露光机/平行光 Hakuto - 2007 DF 国外
自动露光机/平行光 自动露光机/平行光 ORC - 2004 DF 国外
HITACHI DF层压设备 HITACHI DF层压设备 HITACHI DF层压设备 - PSR/DF 国外
PSR全自动印刷机 PSR全自动印刷机 SERIA - 2005 DF 国外
PSR悬挂干燥机 PSR悬挂干燥机 BMI - 2005 PSR 国外
PSR半自动4轴机 PSR半自动4轴机 SERIA - 2016 PSR 国外
PSR半自动4轴机 PSR半自动4轴机 SDK' - 2018 PSR MARKING 国外
PSR半自动4轴机 PSR半自动4轴机 SDK' - 2017 PSR MARKING 国外
PSR半自动4轴机 PSR半自动4轴机 minongmt - 2010 PSR MARKING 国外
PSR隧道式预干燥机 PSR隧道式预干燥机 SMUV - 2020 PSR MARKING 国外
PSR预处理喷射线 PSR预处理喷射线 SIE - 2007 PSR 国外
PSR现像机 PSR现像机 Taesung - 2009 PSR 国外
PSR最终干燥机 PSR最终干燥机 Taeyang - 1999 PSR 国外
PSR UV干燥机 PSR UV干燥机 SMUV - 2020 PSR 国外
箱式干燥机 箱式干燥机 SMUV - 2020 PSR 国外
自动搬送Conveyor 自动搬送Conveyor Hansong - 2018 PSR 国外
DES(Development Etching Strip )Line DES(Development Etching Strip )Line - - - AUTOMATION 国外
2 Chamber)/Flexible or Rigid 対応 2 Chamber)/Flexible or Rigid 対応 Camellia - 2014 PATTEN 国外
DES(Development Etching Strip )Line DES(Development Etching Strip )Line - - - PATTEN 国外
2 Chamber)/Rigid 対応 2 Chamber)/Rigid 対応 Camellia - 2007 PATTEN 国外
DES(Development Etching Strip )Line DES(Development Etching Strip )Line - - - PATTEN 国外
4 Chamber)/Flexible or Rigid 対応 4 Chamber)/Flexible or Rigid 対応 NTP - 2011 PATTEN 国外
DES(Development Etching Strip )Line DES(Development Etching Strip )Line - - - PATTEN 国外
4 Chamber)/Rigid 対応 4 Chamber)/Rigid 対応 SMC - 2007 LAYER 国外
Half Etching(电镀后) Half Etching(电镀后) Taesung - 2011 LAYER 国外
氧化物(Sheet RTR兼用) 氧化物(Sheet RTR兼用) DUC - 2017 LAYER 国外
外形加工(切断) 外形加工(切断) Daliang - 2013 LAYER 国外
2 轴 X-RAY Drill Machine 2 轴 X-RAY Drill Machine Hansong - 2013 LAYER 国外
2 轴 X-RAY Drill Machine 2 轴 X-RAY Drill Machine Hansong - 2012 LAYER 国外
SUS 前处理装置 SUS 前处理装置 Taesung - 2012 LAYER 国外
自动真空成形装置(6段) 自动真空成形装置(6段) Fusei - 1999 LAYER 国外
自动真空成形装置(6段) 自动真空成形装置(6段) Fusei - 2006 LAYER 国外
冷压液压机(6段) 冷压液压机(6段) Fusei - 1999 COATING 国外
圧力机 圧力机 Fusei - 1999 COATING 国外
Brown Oxide Brown Oxide Keystone - 2011 COATING 国外
2次Lay up设备 2次Lay up设备 ESSENTEK - 2005 COATING 国外

页次: 13 / 21页 每页:200 设备数:4138   97[11][12][13][14][15][16][17][18][19][20]: 总共有21页

二手半导体设备买卖-二手半导体设备翻新-二手半导体设备交易平台semi1688.com
城市分站:北京龙玺精密 | 上海龙玺精密 | 深圳龙玺精密 | 成都龙玺精密 | 天津 | 香港 | 澳门 | 安徽 | 福建 | 广东 | 广西 | 贵州 | 甘肃 | 海南 | 河北 | 河南 | 黑龙江 | 湖北 | 湖南 | 吉林 | 江苏 | 江西 | 辽宁 | 内蒙古 | 宁夏 | 青海 | 陕西 | 山西 | 山东 | 四川 | 台湾 | 西藏 | 新疆 | 云南 | 浙江 | japan longxi | korea longxi | USA longxi
 
关于我们 | 产品中心 | 新闻资讯 | 非标定制 | 技术服务 | 联系我们 | 网站地图 | 二手半导体设备采购平台
地址:宁波市奉化区东峰路80号or江苏徐州市贾汪区工业园 电话:18868521984龙先生
邮箱:ljb929@126.com 注:设备状态不定期更新,是否已售出请咨询。
    设备咨询,请加我微信
Copyright © 2019-2024 龙玺精密 版权所有 备案号:浙ICP备2021036227号
VGF单晶炉|高压VGF单晶炉|VTM单晶炉|SIC单晶炉|多晶合成炉|平面抛光机|平面研磨机|双面研磨机和抛光机|曲面抛光机|槽式清洗机|第二代半导体材料全自动清洗机|GASS立式甩干机|多工位甩干机|高温退火炉|低温立式炉|ALD原子层沉积设备|真空封焊炉|磁控溅射镀膜机|高真空多靶磁控溅射镀膜机|卷绕镀膜系统|电阻蒸发镀膜机|电子束蒸发镀膜机|机械手定制|手套箱|晶体生长设备|研磨抛光设备|材料清洗设备|真空镀膜设备|其他定制设备|二手半导体设备|半导体二手设备|二手半导体设备交易|二手半导体设备市场|二手半导体设备平台|二手半导体设备网站|二手半导体设备公司|二手半导体设备翻新|二手半导体设备维护|二手半导体设备进口|二手半导体设备回收|国外二手半导体设备|国内二手半导体设备|二手半导体设备供应商|二手半导体设备交易平台|二手半导体设备交易平台semi1688.com|二手半导体设备采购平台semi1688.com|切割机|划片机|光刻机|检测仪|测试仪|扫描电镜|蚀刻机|探针台|二手半导体设备|二手CANON设备|二手NIKON设备|二手DISCO设备|二手ASML设备|二手AMAT设备|二手TEL设备|二手LAM设备|二手KLA设备|二手Semics设备|二手Hitachi设备
VGF单晶炉|高压VGF单晶炉|VTM单晶炉|SIC单晶炉|多晶合成炉|平面抛光机|平面研磨机|双面研磨机|双面抛光机|曲面抛光机|槽式清洗机|第二代半导体材料全自动清洗机|GASS立式甩干机|多工位甩干机|高温退火炉|低温立式炉|ALD原子层沉积设备|真空封焊炉|磁控溅射镀膜机|高真空多靶磁控溅射镀膜机|卷绕镀膜系统|电阻蒸发镀膜机|电子束蒸发镀膜机|机械手|手套箱|晶体生长设备|研磨抛光设备|材料清洗设备|真空镀膜设备|其他定制设备|龙玺精密|国内二手设备|国外二手设备|二手半导体设备|二手设备买卖|二手设备翻新|二手设备回收|CANON二手设备|NIKON二手设备|DISCO二手设备|ASML二手设备|AMAT二手设备|TEL二手设备|LAM二手设备|KLA二手设备|SEMICS二手设备|HITACHI二手设备|芯片|晶圆|封装|半导体|切割机|划片机|光刻机|检测仪|测试仪|半导体设备|半导体封装|扫描电镜|蚀刻机|探针台|扩散炉