二手半导体设备交易平台semi1688.com
首页 CANON NIKON DISCO ASML AMAT TEL LAM KLA SEMICS HITACHI YOKOGAWA
图 片 设备名称 制造商 型号 年份 详细配置 状 态
VF电镀装置/15,000张 VF电镀装置/15,000张 TKC - 2009 COATING 国外
VF电镀装置/15,000张 VF电镀装置/15,000张 TKC - 2011 COATING 国外
4轴前处理机 4轴前处理机 Taesung - 2009 COATING 国外
2轴去毛刺设备 2轴去毛刺设备 Taesung - 2012 HARF MACHINE 国外
水平去污设备 水平去污设备 Keystone - - HARF MACHINE 国外
Black Hole Machine Black Hole Machine Keystone - - SPS 国外
Shield Press Machine Shield Press Machine DINGA - 2012 RELIABILITY 国外
Shield Press Machine Shield Press Machine VISION KOREA - 2016 RELIABILITY 国外
PCB BONDING Machine PCB BONDING Machine Hansong - 2003 RELIABILITY 国外
3次元测定器 3次元测定器 MicroVu - 2018 - 国外
2010/2009 2010/2009 - - - - 国外
3次元测定器 3次元测定器 MicroVu - 2005 - 国外
电镀测厚仪 电镀测厚仪 SEIKO - 2012 - 国外
Plasma Therm Versaline ICP-RIE #2 PSS ICP 4 Plasma Therm Versaline ICP-RIE #2 PSS IC Plasma Therm Versaline ICP-RIE #2 PSS - As-is 国外
AMSL XT760F KrF Scanner AMSL XT760F KrF Scanner AMSL XT760F KrF Scanner - As-is 国外
EBARA EPO 222 CMP EBARA EPO 222 CMP EBARA EPO-222 CMP - As-is 国外
Oxford Plasmalab System 100 RIE+PECVD Oxford Plasmalab System 100 RIE+PECVD OXFORD牛津 Plasmalab System 100 RIE+PECVD - As-is 国外
DNS SU3200 DNS SU3200 DNS SU3200 - As-is 国外
LAM RAINBOW 4420等离子刻蚀机 LAM RAINBOW 4420等离子刻蚀机 LAM泛林 RAINBOW 4420 - 6" As-is 国外
KLA Tencor 2552缺陷数据分析处理仪 KLA Tencor 2552缺陷数据分析处理仪 KLA科磊 2552 - As-is 国外
USHIO UX-4440 Aligner USHIO UX-4440 Aligner USHIO UX-4440 Aligner - As-is 国外
CHA Revolution CHA Revolution CHA Revolution - As-is 国外
ULVAC EX W300多腔溅射设备 ULVAC EX W300多腔溅射设备 ULVAC爱发科 EX W300 - As-is Multi-ch(8ch)Sputtering 国外
ULVAC EI-7K蒸发台 ULVAC EI-7K蒸发台 ULVAC爱发科 EI-7K - As-is 国外
ULVAC EI-5K蒸发台 ULVAC EI-5K蒸发台 ULVAC爱发科 EI-5K - As-is 国外
ULVAC Chamber(5CH) ULVAC Chamber(5CH) ULVAC爱发科 Chamber(5CH) - 12" As-is 国外
EBARA F-REX300S CMP EBARA F-REX300S CMP EBARA F-REX300S CMP - 12" FULL REPUB 国外
Maxis 300LA ICP Maxis 300LA ICP Maxis 300LA ICP - 12" As-is 国外
Nippon Sanso Nippon Sanso Nippon Sanso - 6" As-is 国外
Aixtron Crius II MOCVD设备 Aixtron Crius II MOCVD设备 Aixtron Crius II - As-is 国外
DISCO DFD641划片机 DISCO DFD641划片机 DISCO DFD641 - 8"Working 国外
Oxford Plasma Pro NGP1000 Oxford Plasma Pro NGP1000 OXFORD牛津 Plasma Pro NGP1000 - 12" As-is 国外
AMAT Centura DPS+ Poly Etch AMAT Centura DPS+ Poly Etch AMAT应用材料 Centura DPS+ Poly Etch - As-is 国外
AMAT Producer-GT CVD AMAT Producer-GT CVD AMAT应用材料 Producer-GT CVD - As-is 国外
AMAT mirra MESA CMP AMAT mirra MESA CMP AMAT应用材料 mirra MESA CMP - FULL REPUB 国外
AMAT P5000刻蚀机 AMAT P5000刻蚀机 AMAT应用材料 P5000 - 8" REFURB 国外
AMAT P5000刻蚀机 AMAT P5000刻蚀机 AMAT应用材料 P5000 - FULL REPUB 国外
HITACHI HL7800M HITACHI HL7800M HITACHI HL7800M - As-is 国外
HITACHI HL8000M HITACHI HL8000M HITACHI HL8000M - As-is 国外
HITACHI S-4800扫描电子显微镜 HITACHI S-4800扫描电子显微镜 HITACHI S4800 - working 国外
HITACHI FESEM S4700 II扫描电子显微镜 HITACHI FESEM S4700 II扫描电子显微镜 HITACHI FESEM S4700 II - FULL REPUB 国外
HITACHI FESEM S4700 II扫描电子显微镜 HITACHI FESEM S4700 II扫描电子显微镜 HITACHI FESEM S4700 II - Working 国外
HITACHI S-9260A扫描电子显微镜 HITACHI S-9260A扫描电子显微镜 HITACHI S-9260A - 8" FULL REPUB CDSEM 国外
TEL P-12XL Probe TEL P-12XL Probe TEL P-12XL Probe - 12" As-is 国外
TEL MARK-VZ涂胶显影机 TEL MARK-VZ涂胶显影机 TEL MARK-VZ - As-is 2C2D 国外
TEL ACT8 2C4D, Double Block TEL ACT8 2C4D, Double Block TEL ACT8 2C4D, Double Block - working 国外
TEL MARK8涂胶显影机 TEL MARK8涂胶显影机 TEL MARK8 - working track (2c1d) 国外
TEL MARK7涂胶显影机 TEL MARK7涂胶显影机 TEL MARK7 - 200 As-is Single Block 国外
TEL MARK8涂胶显影机 TEL MARK8涂胶显影机 TEL MARK8 - 200 As-is Single Block 国外
TEL ACT12 Single Block TEL ACT12 Single Block TEL ACT12 Single Block - 300 As-is 国外
TEL ACT8 Single Block TEL ACT8 Single Block TEL ACT8 Single Block - 200 As-is 国外
CANON FPA-3000i4步进式光刻机 CANON FPA-3000i4步进式光刻机 CANON FPA-3000i4 - Working 国外
NIKON NSR S205C光刻机 NIKON NSR S205C光刻机 NIKON NSR-S205C - As-is 国外
NIKON NSR SF200光刻机 NIKON NSR SF200光刻机 NIKON NSR-SF200 2003 Working 国外
NIKON NSR 207D光刻机 NIKON NSR 207D光刻机 NIKON NSR-207D - working 国外
NIKON NSR 2205i12D光刻机 NIKON NSR 2205i12D光刻机 NIKON NSR-2205i12D - Initial Condition 国外
NIKON NSR 2005i9C步进式光刻机 NIKON NSR 2005i9C步进式光刻机 NIKON NSR-2005i9C - Working 国外
NIKON NSR 2205 EX12B光刻机 NIKON NSR 2205 EX12B光刻机 NIKON NSR-2205EX12B - As-is 国外
NIKON NSR 1505G7E光刻机 NIKON NSR 1505G7E光刻机 NIKON NSR-1505G7E - 6" Working 国外
NIKON NSR 2205i12D光刻机 NIKON NSR 2205i12D光刻机 NIKON NSR-2205i12D - 6"reticle(SMIF), Inline with ACT1 国外
NIKON NSR 2005i9C步进式光刻机 NIKON NSR 2005i9C步进式光刻机 NIKON NSR-2005i9C - - 国外
NIKON NSR 2205 EX12B光刻机 NIKON NSR 2205 EX12B光刻机 NIKON NSR-2205EX12B - Available Dec, 2021, w/SMIF 国外
NIKON NSR 1505G7E光刻机 NIKON NSR 1505G7E光刻机 NIKON NSR-1505G7E - w/cymer laser, w/SMIF 国外
Advantest T5375 ATE Advantest T5375 ATE Advantest T5375 - single head with PM option 国外
KARL SUSS MA200光刻机 KARL SUSS MA200光刻机 KARL SUSS MA200 1989 8" Holder , 2x Optic Lens(5x, 10x 国外
AMAT Centura DPS2 Metal AMAT Centura DPS2 Metal AMAT应用材料 Centura DPS2 Metal 2005 EFEM(NT, Yaskawa), 3x G2 Metal, 1 国外
AMAT Centura Axiom Chamber AMAT Centura Axiom Chamber AMAT应用材料 Centura Axiom Chamber 2006 Axiom Only (w/VODM) 国外
Mattson Helios RTP Mattson Helios RTP Mattson Helios 2009 3x TDK TAS300 Load port, 2x Chabm 国外
Mattson Helios RTP Mattson Helios RTP Mattson Helios 2004 1. Chamber Qty. =2 2. transforme 国外
Mattson Helios RTP Mattson Helios RTP Mattson Helios 2004 3x TDK TAS300 Load port, 2x Chabm 国外
Mattson Helios RTP Mattson Helios RTP Mattson Helios 2005 3x TDK TAS300 Load port, 2x Chabm 国外
Agilent 4073B ATE Agilent 4073B ATE Agilent 4073B 2005 48Pin / SMU:HRSMU(x2), MPSMU(x6) 国外
Agilent 4073A ATE Agilent 4073A ATE Agilent 4073A 2001 32pin(of 32pins, 4pins fail), MP 国外
Agilent 4073B ATE Agilent 4073B ATE Agilent 4073B 2011 48pin, SMU7[MPSMU(4), HPSMU(1), H 国外
AMAT P5000刻蚀机 AMAT P5000刻蚀机 AMAT应用材料 P5000 1988 CVD Mark1, 3x DLH 国外
TEL	LITHIUS Pro-i TEL LITHIUS Pro-i TEL LITHIUS Pro-i 2007 9COT 3DEV with many CCHA bake and 国外
TEL LITHIUS涂胶显影机 TEL LITHIUS涂胶显影机 TEL LITHIUS 2007 5C5D, Inlined type(AT-850F), FOUP 国外
AMAT Reflexion FA AMAT Reflexion FA AMAT应用材料 Reflexion FA 2005 CMP 国外
KARL SUSS CBC200 KARL SUSS CBC200 KARL SUSS CBC200 2013 Cluster Frame, Process Module x4, 国外
TERADYNE MAGNUM2X 2x GVLC TERADYNE MAGNUM2X 2x GVLC TERADYNE MAGNUM2X 2x GVLC - Frame Only. No board included / i 国外
TERADYNE MAGNUM2X SSV TERADYNE MAGNUM2X SSV TERADYNE MAGNUM2X SSV - [Missing indicated] : Base Board 国外
KARL SUSS CB200M KARL SUSS CB200M KARL SUSS CB200M 2012 Process chamber, Transfer Unit. B 国外
AMAT Vantage 5 AMAT Vantage 5 AMAT应用材料 Vantage 5 2012 RTP 国外
KLA Viper 2435 KLA Viper 2435 KLA科磊 Viper 2435 2006 - 国外
KLA Viper 2438 KLA Viper 2438 KLA科磊 Viper 2438 2008 - 国外
TERADYNE IP750测试系统 TERADYNE IP750测试系统 TERADYNE IP750 2000 512ch head(1), ws(COMPAQ Evo W800 国外
TERADYNE IP750测试系统 TERADYNE IP750测试系统 TERADYNE IP750 2000 512ch head(1), ws(PFU Limited PDS 国外
TERADYNE IP750测试系统 TERADYNE IP750测试系统 TERADYNE IP750 2000 512ch head(1), ws(COMPAQ Evo W800 国外
TERADYNE IP750EP测试系统 TERADYNE IP750EP测试系统 TERADYNE IP750EP 2002 512ch head(1), ws(PFU limited PDS 国外
TERADYNE IP750测试系统 TERADYNE IP750测试系统 TERADYNE IP750 2000 Power conditioner(1ea, small type 国外
TERADYNE UltraFLEX TERADYNE UltraFLEX TERADYNE UltraFLEX 2011 Z800(W/S)36 slot Testhead . HSD-I 国外
TERADYNE J750EX测试系统 TERADYNE J750EX测试系统 TERADYNE J750EX 2015 1024ch size Large TH, DPS(2), CUB 国外
TERADYNE IP750EX测试系统 TERADYNE IP750EX测试系统 TERADYNE IP750EX 2001 HEAD(Modified from J750), Rack(Ma 国外
TERADYNE J750测试系统 TERADYNE J750测试系统 TERADYNE J750 2004 韩国 Main, Head, W/S(XW8400), Power 国外
SMT SMT Famecs FMBL-200AND-SHE 2013 - 国外
TERADYNE IP750测试系统 TERADYNE IP750测试系统 TERADYNE IP750 2000 512ch head(1), ws(PFU limited PDS 国外
TERADYNE IP750S测试系统 TERADYNE IP750S测试系统 TERADYNE IP750S - 512ch head(1), ws(PFU limited PDS 国外
PKG PKG Musashi AWATRON2 AW-MV310 2013 Vacuum Pump : 2Torr, 100l/min. 国外
NIKON N-SIS超分辨率显微镜 NIKON N-SIS超分辨率显微镜 NIKON N-SIS 5 - - 国外
NIKON N-SIS超分辨率显微镜 NIKON N-SIS超分辨率显微镜 NIKON N-SIS 5 - - 国外
NIKON N-SIS超分辨率显微镜 NIKON N-SIS超分辨率显微镜 NIKON N-SIS 5 - - 国外
NIKON N-SIS超分辨率显微镜 NIKON N-SIS超分辨率显微镜 NIKON N-SIS 5 - - 国外
NIKON N-SIS超分辨率显微镜 NIKON N-SIS超分辨率显微镜 NIKON N-SIS 5 - - 国外
TEL	Trias CVD TEL Trias CVD TEL Trias 2004 4 x CVD TiN, 3 x load port FI ro 国外
TEL	Trias CVD TEL Trias CVD TEL Trias 2011 Trias E+, UV RF CH x3 国外
TEL	Trias CVD TEL Trias CVD TEL Trias 2006 Ti Ch x2, TiN Ch x2, Stage Heater 国外
TEL	Trias CVD TEL Trias CVD TEL Trias 2012 3CH 国外
TEL	Trias CVD TEL Trias CVD TEL Trias 2013 EXII ALD TiN 1CH System 国外
TEL	Trias SPA CVD TEL Trias SPA CVD TEL Trias SPA 2010 LM+TM+AC Rack, Missing: PM 国外
ACCRETECH UF3000探针台 ACCRETECH UF3000探针台 ACCRETECH东京精密 UF3000 2007 Right Single Loader, Hot Nickel C 国外
AXCELIS RapidCure 320FC Track AXCELIS RapidCure 320FC Track AXCELIS RapidCure 320FC 2007 - 国外
NITTO HR8500II撕膜机 NITTO HR8500II撕膜机 NITTO HR8500II 2002 5,6,8" Universal Chuck, Loader/Un 国外
AMAT Centura DPS2 Metal AMAT Centura DPS2 Metal AMAT应用材料 Centura DPS2 Metal 2005 EFEM(Server, Yaskawa), 3x G2 Meta 国外
AMAT Producer GT CVD AMAT Producer GT CVD AMAT应用材料 Producer GT 2011 3 Twin(HARP USG, RPC_FI80131), FI 国外
AMAT Producer GT Chamber CVD AMAT Producer GT Chamber CVD AMAT应用材料 Producer GT Chamber 2010 1 Twin CH(ACL) only 国外
KLA Spectra FX200薄膜量测 KLA Spectra FX200薄膜量测 KLA科磊 FX200 2006 [Power-on] 2port(TDK), Yaskawa(Al 国外
Micromanipulator 9000-VIT Micromanipulator 9000-VIT Micromanipulator 9000-VIT 2005 - 国外
TEL	TSP 305 SCCM TE Etch TEL TSP 305 SCCM TE Etch TEL TSP 305 SCCM TE 2007 3x TE configured 国外
Component Component Blue M DCC-206-EV-ST350 1999 - 国外
EBARA EST 300 EBARA EST 300 EBARA EST-300 - - 国外
EBARA EST200WN EBARA EST200WN EBARA EST-200WN - - 国外
Metrology Metrology VLSI Standard PDS-100 2000 Particle Counters in Metrology Eq 国外
Advantest 83000 ATE Advantest 83000 ATE Advantest 83000 2000 - 国外
Advantest 83000 ATE Advantest 83000 ATE Advantest 83000 - - 国外
Advantest 83000 ATE Advantest 83000 ATE Advantest 83000 - - 国外
Metrology Metrology VEECO V220SI - - 国外
Component Component ITS Single Mix Tank - - 国外
Electroglas EG2001X ATE Electroglas EG2001X ATE Electroglas EG2001X - - 国外
Component Component Blue M RG-3010F-2 - System S/N: R2-606 国外
Steag ElectroDep 2000 Etch Steag ElectroDep 2000 Etch Steag ElectroDep 2000 2000 - 国外
AG Associates Steag Etch AG Associates Steag Etch AG Associates Steag - - 国外
Component Component Oryx M65X - - 国外
Component Component Oryx M65X - - 国外
Electroglas EG4090u ATE Electroglas EG4090u ATE Electroglas EG4090u 1999 Tool was functional when powered 国外
Electroglas EG4090u ATE Electroglas EG4090u ATE Electroglas EG4090u 1999 Tool was functional when powered 国外
Electroglas EG4090u ATE Electroglas EG4090u ATE Electroglas EG4090u 1999 Tool was functional when powered 国外
Electroglas EG4090u ATE Electroglas EG4090u ATE Electroglas EG4090u 2004 Tool was functional when powered 国外
Metrology Metrology PMS Lasair 110 2013 - 国外
WET WET GTX Wet Bench - - 国外
Kinetic Systems 9101-21-21 Kinetic Systems 9101-21-21 Kinetic Systems 9101-21-21 1994 - 国外
Component Component Akrion UP V2 MP.2000 - Bagged & Skidded in warehouse 国外
Component Component Thermo Fisher Scientific FED720 - - 国外
Component Component Feedmatic Vacuum Sealer 1997 - 国外
Component Component Akrion UP V2 MP.2000 1999 Bagged & Skidded in warehouse 国外
KLA CRS1010 KLA CRS1010 KLA科磊 CRS1010 1998 Microscope 国外
WET WET Autoclean ISG-2000 - - 国外
Component Component Akrion UP V2 MP.2000 2000 - 国外
Component Component Akrion UP V2 MP.2000 2000 Bagged & Skidded in warehouse 国外
Component Component Akrion UP V2 MP.2000 - Main system 1, Fire suppression 2 国外
Component Component Sonicor Instrument TS-2404/402424H - - 国外
Unknown	Parts Clean Box-Exhaust Unknown Parts Clean Box-Exhaust Unknown Parts Clean Box-Exhausted 1995 for Parts Cleaning 国外
LAM Synergy CMP LAM Synergy CMP LAM泛林 Synergy 1997 - 国外
WET WET Akrion MP-2000 2000 Unhooked, dismatlted and packed 国外
HITACHI AS5000 HITACHI AS5000 HITACHI AS5000 1997 Metrology / SEMs in?Microscopes, 国外
Component Component Thermo Fisher Scientific FED720 - - 国外
LASERTEC PEGSIS P100 LASERTEC PEGSIS P100 LASERTEC PEGSIS P100 2011 - 国外
Component Component Thermo Fisher Scientific FD400 1995 - 国外
Component Component Jackson Automation EXHAUST BOX 2000 Implant / Clean Room Equipment in 国外
Component Component Jackson Automation Exhaust Cabinet - - 国外
Component Component Blue M DCC206CY 1995 - 国外
Component Component Jackson Automation Exhaust Cabinet - - 国外
Metrology Metrology JEOL JWS-7515 1999 - 国外
WET WET Pan Abrasives KS9090WB/SS4 - - 国外
AG Associates Extraction, Amine AG Associates Extraction, Amine AG Associates Extraction, Amine 2000 - 国外
Component Component Thermo Fisher Scientific FD400 - - 国外
Component Component Thermo Fisher Scientific FED720 - - 国外
Nanometrics Q200I Nanometrics Q200I Nanometrics Q200I 2000 2 X Indexer 国外
Component Component NCB Network NF200 2007 - 国外
KLA Polylite 88 KLA Polylite 88 KLA科磊 Polylite 88 - - 国外
Component Component Blue M DCC206CY - - 国外
Temptronic TPO4000A-2B21-2 ATE Temptronic TPO4000A-2B21-2 ATE Temptronic TPO4000A-2B21-2 2008 location : Singapore 国外
PKG PKG Blue M DCC-1406CY 1995 Convection Bake Oven 国外
Semilab SPVCMS4000 Semilab SPVCMS4000 Semilab SPVCMS4000 1995 - 国外
Sonicar TS-12047HC Sonicar TS-12047HC Sonicar TS-12047HC - - 国外
SMT SMT UNIVERSAL PLASTICS Solvent Bench 1995 Heating of Flow-Flashpoint Solven 国外
Metrology Metrology JEOL JWS-7555 2002 - 国外
Metrology Metrology JEOL JWS-7555 2000 - 国外
Furnace Furnace BTU TCAS 181-7-72-E-36 2001 Controlled Atmosphere Furnace 国外
KARL SUSS XBC300晶圆键合机 KARL SUSS XBC300晶圆键合机 KARL SUSS XBC300 - 300mm Wafer/ Glass Bonder 国外
SCREEN SU-3100 WET SCREEN SU-3100 WET SCREEN SU-3100 2011 8 chambers BEOL single wafer clea 国外
TEL	Alpha-303i Furnace TEL Alpha-303i Furnace TEL Alpha-303i - VMM-56-002, 2 boats, SiH4, PH3, C 国外
AMAT Centura Enabler Etch AMAT Centura Enabler Etch AMAT应用材料 Centura Enabler 2007 - 国外
Component Component Adixen APR4300 2014 In crate, In warehouse 国外
Component Component Adixen APR4300 2014 Unhooked. In warehouse 国外
Advantest 83000 Advantest 83000 Advantest 83000 2000 Tester, F330t , 256 pins 国外
Advantest 83000 Advantest 83000 Advantest 83000 2000 Tester, F330t , 128 pins 国外
Agilent 81110A Agilent 81110A Agilent 81110A - - 国外
KOKUSAI DJ-1206VN-DM KOKUSAI DJ-1206VN-DM KOKUSAI DJ-1206VN-DM 2006 - 国外
TEL	Alpha-303i Furnace TEL Alpha-303i Furnace TEL Alpha-303i - VMM-56-002, 2 boats 国外
TEL	Alpha-303i Furnace TEL Alpha-303i Furnace TEL Alpha-303i - VMM-56-002, 2 boats, SiH4, PH3, C 国外
TEL	Alpha-303i Furnace TEL Alpha-303i Furnace TEL Alpha-303i - VMM-56-002, 2 boats, SiH4, PH3, C 国外
Component Component KINIK BI2 - - 国外
SCREEN SU-3100 WET SCREEN SU-3100 WET SCREEN SU-3100 2011 8 chambers single wafer clean 国外
SCREEN SU-3100 WET SCREEN SU-3100 WET SCREEN SU-3100 2013 8 chambers single wafer clean 国外
Jordan Valley	JVX6200i Jordan Valley JVX6200i Jordan Valley JVX6200i 2011 - 国外
Metrology Metrology Toray HS-830 2010 - 国外
TEL	nFusion 700 Implant TEL nFusion 700 Implant TEL nFusion 700 2013 - 国外
Novellus Inova NExT PVD Novellus Inova NExT PVD Novellus Inova NExT 2007 3ports, 4x Ti, 2x AlCu, Degas 国外
AXCELIS COMPACT II Furnace AXCELIS COMPACT II Furnace AXCELIS COMPACT II - - 国外
Semitool Raider ECD310 Semitool Raider ECD310 Semitool Raider ECD310 2006 Capsule (Cleanning Chamber)x4, EC 国外

页次: 14 / 21页 每页:200 设备数:4138   97[11][12][13][14][15][16][17][18][19][20]: 总共有21页

二手半导体设备买卖-二手半导体设备翻新-二手半导体设备交易平台semi1688.com
城市分站:北京龙玺精密 | 上海龙玺精密 | 深圳龙玺精密 | 成都龙玺精密 | 天津 | 香港 | 澳门 | 安徽 | 福建 | 广东 | 广西 | 贵州 | 甘肃 | 海南 | 河北 | 河南 | 黑龙江 | 湖北 | 湖南 | 吉林 | 江苏 | 江西 | 辽宁 | 内蒙古 | 宁夏 | 青海 | 陕西 | 山西 | 山东 | 四川 | 台湾 | 西藏 | 新疆 | 云南 | 浙江 | japan longxi | korea longxi | USA longxi
 
关于我们 | 产品中心 | 新闻资讯 | 非标定制 | 技术服务 | 联系我们 | 网站地图 | 二手半导体设备采购平台
地址:宁波市奉化区东峰路80号or江苏徐州市贾汪区工业园 电话:18868521984龙先生
邮箱:ljb929@126.com 注:设备状态不定期更新,是否已售出请咨询。
    设备咨询,请加我微信
Copyright © 2019-2024 龙玺精密 版权所有 备案号:浙ICP备2021036227号
VGF单晶炉|高压VGF单晶炉|VTM单晶炉|SIC单晶炉|多晶合成炉|平面抛光机|平面研磨机|双面研磨机和抛光机|曲面抛光机|槽式清洗机|第二代半导体材料全自动清洗机|GASS立式甩干机|多工位甩干机|高温退火炉|低温立式炉|ALD原子层沉积设备|真空封焊炉|磁控溅射镀膜机|高真空多靶磁控溅射镀膜机|卷绕镀膜系统|电阻蒸发镀膜机|电子束蒸发镀膜机|机械手定制|手套箱|晶体生长设备|研磨抛光设备|材料清洗设备|真空镀膜设备|其他定制设备|二手半导体设备|半导体二手设备|二手半导体设备交易|二手半导体设备市场|二手半导体设备平台|二手半导体设备网站|二手半导体设备公司|二手半导体设备翻新|二手半导体设备维护|二手半导体设备进口|二手半导体设备回收|国外二手半导体设备|国内二手半导体设备|二手半导体设备供应商|二手半导体设备交易平台|二手半导体设备交易平台semi1688.com|二手半导体设备采购平台semi1688.com|切割机|划片机|光刻机|检测仪|测试仪|扫描电镜|蚀刻机|探针台|二手半导体设备|二手CANON设备|二手NIKON设备|二手DISCO设备|二手ASML设备|二手AMAT设备|二手TEL设备|二手LAM设备|二手KLA设备|二手Semics设备|二手Hitachi设备
VGF单晶炉|高压VGF单晶炉|VTM单晶炉|SIC单晶炉|多晶合成炉|平面抛光机|平面研磨机|双面研磨机|双面抛光机|曲面抛光机|槽式清洗机|第二代半导体材料全自动清洗机|GASS立式甩干机|多工位甩干机|高温退火炉|低温立式炉|ALD原子层沉积设备|真空封焊炉|磁控溅射镀膜机|高真空多靶磁控溅射镀膜机|卷绕镀膜系统|电阻蒸发镀膜机|电子束蒸发镀膜机|机械手|手套箱|晶体生长设备|研磨抛光设备|材料清洗设备|真空镀膜设备|其他定制设备|龙玺精密|国内二手设备|国外二手设备|二手半导体设备|二手设备买卖|二手设备翻新|二手设备回收|CANON二手设备|NIKON二手设备|DISCO二手设备|ASML二手设备|AMAT二手设备|TEL二手设备|LAM二手设备|KLA二手设备|SEMICS二手设备|HITACHI二手设备|芯片|晶圆|封装|半导体|切割机|划片机|光刻机|检测仪|测试仪|半导体设备|半导体封装|扫描电镜|蚀刻机|探针台|扩散炉