二手半导体设备交易平台semi1688.com
首页 CANON NIKON DISCO ASML AMAT TEL LAM KLA SEMICS HITACHI YOKOGAWA
图 片 设备名称 制造商 型号 年份 详细配置 状 态
Metrology Metrology PSS AccuSizer APS 780 2006 - 国外
Semitool Raider ECD310 Semitool Raider ECD310 Semitool Raider ECD310 2007 - 国外
Semitool Raider ECD310 Semitool Raider ECD310 Semitool Raider ECD310 2007 - 国外
Rudolph WV320 Rudolph WV320 Rudolph WV320 2007 - 国外
Metrology Metrology Phoenix Micromex SE 160T 2009 Xray tool 国外
KOKUSAI DD-1236VN-DF KOKUSAI DD-1236VN-DF KOKUSAI DD-1236VN-DF - "need exact model name"
DJ-1236V
国外
KOKUSAI DD-1223VN KOKUSAI DD-1223VN KOKUSAI DD-1223VN - Single boat, CX5000 国外
Furnace Furnace ASM A412 2011 2boats 国外
Mattson Steag Etch Mattson Steag Etch Mattson Steag 2002 - 国外
AMAT Centura Chamber Etch AMAT Centura Chamber Etch AMAT应用材料 Centura Chamber 2010 2 x Minos, 1 x Carina, 1 x Axion, 国外
TEL	Trias CVD TEL Trias CVD TEL Trias 2010 - 国外
AXCELIS Optima HDxT Implant AXCELIS Optima HDxT Implant AXCELIS Optima HDxT 2011 Axcelis Optima HDxT

Roughing
国外
Component Component Chiron XACT-830 - - 国外
KLA Viper 2438 KLA Viper 2438 KLA科磊 Viper 2438 2010 - 国外
KLA Tencor ES31晶圆检查系统 KLA Tencor ES31晶圆检查系统 KLA科磊 ES31 2004 E-beam Inspection / SEMs in Micro 国外
GEMETEC Elymat III GEMETEC Elymat III GEMETEC Elymat III - - 国外
AMAT NanoSEM 3D Metrology AMAT NanoSEM 3D Metrology AMAT应用材料 NanoSEM 3D 2002 MULTIPLE UNITS AVAILABLE. PLEASE 国外
AMAT NanoSEM 3D Metrology AMAT NanoSEM 3D Metrology AMAT应用材料 NanoSEM 3D 2002 ULTIPLE UNITS AVAILBLE. PLEASE I 国外
Multiprobe MP1 ATE Multiprobe MP1 ATE Multiprobe MP1 2006 Condition Very Good, tool for mi 国外
Novellus Inova NExT PVD Novellus Inova NExT PVD Novellus Inova NExT 2015 3ports, 2x AlCu, 2x Ti, Degas 国外
Metrology Metrology HSEB AXIOSPECT 301 - - 国外
Etch Etch Shibaura CDE300 - Main mini environment Platform, E 国外
WET WET Semitool Raider SP 2006 - 国外
KARL SUSS HVMMFT KARL SUSS HVMMFT KARL SUSS HVMMFT - - 国外
KARL SUSS HVMMFT KARL SUSS HVMMFT KARL SUSS HVMMFT - - 国外
Kinetic Systems Megapure 6001 HC Kinetic Systems Megapure 6001 HC Kinetic Systems Megapure 6001 HC - - 国外
Component Component Adixen APR4300 2012 4 process chambers, 1 load port, 国外
AMAT Centura Enabler Chamber Etch AMAT Centura Enabler Chamber Etch AMAT应用材料 Centura Enabler Chamber 2004 Condition : Very Good , CE Marked 国外
AMAT Vantage Vulcan RTP AMAT Vantage Vulcan RTP AMAT应用材料 Vantage Vulcan 2013 2 Chamber RTP System 国外
Metrology Metrology SOPRA EP12 - - 国外
Advantest 83000 Advantest 83000 Advantest 83000 2000 Tester, F330t , 64 pins 国外
SemiProbe PS4L FA-12 ATE SemiProbe PS4L FA-12 ATE SemiProbe PS4L FA-12 2012 with FOUP capablity 国外
Track Track SCREEN DT-3000 2015 DUO 4 CUP + 12 PCDH + 6 CP 4 CUP 国外
TEL RLSA-H Chambers Etch TEL RLSA-H Chambers Etch TEL RLSA-H Chambers 2011 Dry Etch, Bx-, Cx-, E1 layers, 30 国外
E.A. Fischione 2040 E.A. Fischione 2040 E.A. Fischione Instruments 2040 - - 国外
Waters 2695 Waters 2695 Waters 2695 - - 国外
Component Component Blue M DCC206CY - - 国外
AMAT Producer GT Chamber CVD AMAT Producer GT Chamber CVD AMAT应用材料 Producer GT Chamber 2014 Parts Machine: 1 x Proudcer GT ch 国外
Mattson Helios RTP Mattson Helios RTP Mattson Helios 2007 - 国外
Metrology Metrology FEI Ex-Situ Plucker 2009 - 国外
HITACHI HF-2000电子显微镜 HITACHI HF-2000电子显微镜 HITACHI HF-2000 1995 200KeV Advanced Analytical TEM 国外
Novellus Inova NExT PVD Novellus Inova NExT PVD Novellus Inova NExT 2013 3ports, 2x TiN, 2x Degas 国外
Etch Etch Nippon Scientific PS102W - - 国外
Metrology Metrology Carl Zeiss LEA1530 2002 FIELD EMISSION SCANNING ELECTRON 国外
Metrology Metrology FEI ExSolve 2 WTP EFEM 2017 Main system, Handler (2ports), Pr 国外
Metrology Metrology FEI Ex-Situ Plucker 2008 - 国外
Metrology Metrology JEOL ARM200CF Super X 2014 Main system 国外
Component Component E.A. Fischione Instruments 1030 2005 System S/N: 14 国外
ANCOSYS P13010 ANCOSYS P13010 ANCOSYS P13010 2011 - 国外
CHECKPOINT 300 TDE CHECKPOINT 300 TDE CHECKPOINT 300 TDE 2011 Top down OBIRCH/InGaAs/LTM 9 Ghz 国外
Metrology Metrology Hermes Microvision eP4 2017 - 国外
HITACHI M-8190XT Etch HITACHI M-8190XT Etch HITACHI M-8190XT 2013 3 Chambers 国外
Metrology Metrology Jordan Valley JVX7300 2012 TFM_THK_JV 国外
LYNCEE TEC Holographic Microscope LYNCEE TEC Holographic Microscope LYNCEE TEC Holographic Microscope 2012 - 国外
TERADYNE Probe Card Interface TERADYNE Probe Card Interface TERADYNE Probe Card Interface 2011 - 国外
TEL	Tactras Vigus-0 Etch TEL Tactras Vigus-0 Etch TEL Tactras Vigus-0 2010 NCCP 国外
TEL	Certas LEAGA Etch TEL Certas LEAGA Etch TEL Certas LEAGA 2016 In a line. SW V1.90, Certas LEAGA 国外
Component Component VWR Scientific 1601 2011 - 国外
AMAT Olympia CVD AMAT Olympia CVD AMAT应用材料 Olympia 2015 2Ch ALD System, Single chamber, I 国外
KOKUSAI DJ-1206VN-DM KOKUSAI DJ-1206VN-DM KOKUSAI DJ-1206VN-DM - - 国外
KOKUSAI DJ-1206VN-DM KOKUSAI DJ-1206VN-DM KOKUSAI DJ-1206VN-DM - - 国外
AMAT ACMS XT II Component AMAT ACMS XT II Component AMAT应用材料 ACMS XT II 2005 - 国外
AMAT ACMS0XT-ASG-E Component AMAT ACMS0XT-ASG-E Component AMAT应用材料 ACMS0XT-ASG-E 2006 - 国外
AMAT UVision 5 Metrology AMAT UVision 5 Metrology AMAT应用材料 UVision 5 2011 - 国外
AMAT UVision 5 Metrology AMAT UVision 5 Metrology AMAT应用材料 UVision 5 2012 300mm G1 Load Port 2
Moving Monr
国外
Nanometrics Q200I Nanometrics Q200I Nanometrics Q200I 2002 2 X Indexer 国外
LASERTEC BI100 LASERTEC BI100 LASERTEC BI100 2017 - 国外
Metrology Metrology RORZE RSR160 2017 - 国外
Component Component Brooks M1900 2018 - 国外
MCC ABES-V ATE MCC ABES-V ATE MCC ABES-V 2001 BI tool (ambient / high temp only 国外
MCC ABES-V ATE MCC ABES-V ATE MCC ABES-V 2001 single slot screen tool (ambient 国外
Track Track SCREEN DT-3000 2013 DUO 4 CUP + 12 PCDH + 6 CP 4 CUP 国外
AMAT Centura Carina Chamber  Etch AMAT Centura Carina Chamber Etch AMAT应用材料 Centura Carina Chamber - Chamber Only.
Carina Etch Chambe
国外
Micro Control	Abes Memory PreScreener Micro Control Abes Memory PreScreener Micro Control Abes Memory PreScreener 2000 System S/N: 112, SoftwareVersion 国外
Micro Control	Abes Memory PreScreener Micro Control Abes Memory PreScreener Micro Control Abes Memory PreScreener 1999 SoftwareVersion :OS/2 国外
Micro Control	Abes Memory Test Tool Micro Control Abes Memory Test Tool Micro Control Abes Memory Test Tool 1999 2 Chambers, 16 slots each 国外
Micro Control	WRP256 ATE Micro Control WRP256 ATE Micro Control WRP256 1999 2 Chamber 8 Slots Each 国外
Micro Control	WRP256 ATE Micro Control WRP256 ATE Micro Control WRP256 1996 SoftwareVersion :OS/2 国外
Camtek X- ACT Metrology Camtek X- ACT Metrology Camtek X- ACT 2012 - 国外
Olympus PMG3 Olympus PMG3 Olympus PMG3 - - 国外
Semilab PS-2000 Semilab PS-2000 Semilab PS-2000 2015 - 国外
Component Component ABB IRB120 2016 - 国外
Component Component Advenced Control Advenced Control 2001 - 国外
Component Component Advenced Control Advenced Control 2006 MEE200/WET200 CMS historical data 国外
DISCO DFG821划片机 DISCO DFG821划片机 DISCO DFG821/F8 1993 - 国外
Metrology Metrology Bruker Insight 3D 2017 AFM, Idle in a fab, SW 8.91b36, M 国外
Component Component Chemical Safety Technology, In Dual drum waste Cabinet - - 国外
Metrology Metrology Met One 3313 - - 国外
Component Component Revco ULT 2140-5- D30 -40C - - 国外
PKG PKG Royal Sovereign RSL-2702 - - 国外
Component Component VWR Scientific 61161-326 - - 国外
Component Component VWR Scientific 1610 - - 国外
ENTEGRIS LPDF40SS4 ENTEGRIS LPDF40SS4 ENTEGRIS LPDF40SS4 2019 - 国外
KOKUSAI DD-1223V KOKUSAI DD-1223V KOKUSAI DD-1223V 2014 DD-1223VN-DF/ QUIXACE-II D2 ALLOY 国外
FSI ORION WET FSI ORION WET FSI ORION 2011 - 国外
Component Component ESCO EHWS 8C - - 国外
ASML XT1250B光刻机 ASML XT1250B光刻机 ASML XT1250B 2005 - 国外
Component Component Empire Abrasive Equipment PF-2632 M-02522 2006 Blaster leaks at hopper and door 国外
Track Track SCREEN DT-3000 2015 DUO 4 CUP + 12 PCDH + 6 CP 4 CUP 国外
WET WET FSI Antares 2002 - 国外
WET WET Semitool Spectrum 300 2012 - 国外
AXCELIS Summit 300XT RTP AXCELIS Summit 300XT RTP AXCELIS Summit 300XT 2003 - 国外
Component Component Blue M RG-3010F-2 - - 国外
Component Component Wilt Industries 4106 - - 国外
Component Component Denton Infinity 18 2002 - 国外
Micro Control	WRP256 ATE Micro Control WRP256 ATE Micro Control WRP256 1999 - 国外
Metrology Metrology Carl Zeiss Axiotron-2 - - 国外
Metrology Metrology Carl Zeiss Axiotron-2 - - 国外
Component Component FEI Meridian-IV 2013 - 国外
Component Component FSI PWB-48X38X64-3E 2011 - 国外
Component Component FSI PWB-48X38X64-3E 2011 - 国外
Component Component FSI PWB-48X38X64-3E 2011 - 国外
AMAT Endura II PVD AMAT Endura II PVD AMAT应用材料 Endura II 2006 1x DSTTN 已售出
Metrology Metrology FEI Ex-Situ Plucker 2011 - 国外
WET WET DMS Tornado 200 2013 - 国外
Akrion Component UP V2 MP.2000 Akrion Component UP V2 MP.2000 Akrion UP V2 MP.2000 - Tool is sitting in Subfab 国外
AMAT NanoSEM 3D Metrology AMAT NanoSEM 3D Metrology AMAT应用材料 NanoSEM 3D 2004 Installed. Operational. Loadport 国外
AMAT NanoSEM 3D Metrology AMAT NanoSEM 3D Metrology AMAT应用材料 NanoSEM 3D 2004 Installed. Operational. 国外
AMAT NanoSEM 3D Metrology AMAT NanoSEM 3D Metrology AMAT应用材料 NanoSEM 3D 2004 Installed. Operational. 国外
Extraction System Inc TMB RTM Extraction System Inc TMB RTM Extraction System Inc TMB RTM - - 国外
Component Component Jackson Automation Storage Cabinet - - 国外
Rudolph WV320 Rudolph WV320 Rudolph WV320 2005 - 国外
Nanometrics Caliper Q300 Nanometrics Caliper Q300 Nanometrics Caliper Q300 2003 Overlay Measurement, Connected 国外
Nanometrics Caliper Q300 Nanometrics Caliper Q300 Nanometrics Caliper Q300 2003 SEM - Critical Dimension (CD) Mea 国外
Nanometrics Caliper Q300 Nanometrics Caliper Q300 Nanometrics Caliper Q300 2002 Nanometrics Caliper Inspection To 国外
AMAT Producer GT CVD AMAT Producer GT CVD AMAT应用材料 Producer GT 2015 3 Chamber: 1x SiCoNi PME, Frontie 国外
AMAT Producer GT CVD AMAT Producer GT CVD AMAT应用材料 Producer GT 2016 Frontier FRONTIER etch for Juncti 国外
AMAT Producer GT CVD AMAT Producer GT CVD AMAT应用材料 Producer GT 2015 Frontier FRONTIER etch for Juncti 国外
AMAT Producer GT CVD AMAT Producer GT CVD AMAT应用材料 Producer GT 2017 Frontier FRONTIER etch for Juncti 国外
Ametek/Cameca EX300 Ametek/Cameca EX300 Ametek/Cameca EX300 2011 Stranded, no potential reuse 国外
Ametek/Cameca EX300 Ametek/Cameca EX300 Ametek/Cameca EX300 2009 Shallow Probe Measurment Tool 国外
Component Component FSI PWC-48X38X64-3E - Drying Oven 2011 - 国外
Novellus Inova XT PVD Novellus Inova XT PVD Novellus Inova XT 2003 6 Chambers 国外
Component Component QCEPT QCEPT 2011 - 国外
TEL	Indy Irad Furnace TEL Indy Irad Furnace TEL Indy Irad 2007 - 国外
IMS	XTS-FT ATE IMS XTS-FT ATE IMS XTS-FT 1998 - 国外
ASM Epsilon 3200 ASM Epsilon 3200 ASM Epsilon 3200 2005 CVD 国外
Nanometrics Caliper Q300 Nanometrics Caliper Q300 Nanometrics Caliper Q300 2002 Installed 国外
Component Component Hologenix MTX 2000/2/MIS SLIPBAY 2001 - 国外
Packaging Systems Entry Conveyor Packaging Systems Entry Conveyor Packaging Systems Entry Conveyor 2018 - 国外
Metrology Metrology M&W Products IPRO 7 2012 for KLA IPRO7 国外
Micro Lithography 7002 ATE Micro Lithography 7002 ATE Micro Lithography 7002 1995 - 国外
Component Component Akrion UP V2 MP.2000 1994 Main system 1, SMIF ALU 1 国外
Estion E-RETICLE V 4M Estion E-RETICLE V 4M Estion E-RETICLE V 4M 2010 - 国外
KLA DP2 KLA DP2 KLA科磊 DP2 2012 DP2 Data Prep Station 国外
Component Component Kurita Unknown 2012 REGAS UNITS (X2) 国外
Component Component True Refrigerator S-72-SCI-HC 2017 - 国外
Component Component True Refrigerator TS-72-SCI-HC 2000 - 国外
Component Component Air Liquide Fabstream III 2019 SiH4 国外
Component Component PMS Surfex200 2013 - 国外
Component Component Polycom ISX309 2015 - 国外
Polycom Studio ISX321 Component Polycom Studio ISX321 Component Polycom Studio ISX321 2014 - 国外
ASML XT1700Gi光刻机 ASML XT1700Gi光刻机 ASML XT1700Gi 2006 - 国外
FSI ORION WET FSI ORION WET FSI ORION 2005 - 国外
Mosaid MS4205 ATE Mosaid MS4205 ATE Mosaid MS4205 - 200/400MHz, 16x16y addressing, 36 国外
Component Component Micro Control Abes IV - Condition : Fair, Burn-in Oven to 国外
Component Component Micro Control WRP64 - Condition : Fair, Burn-in Oven to 国外
Kinetic Systems Megapure 6001 HC Kinetic Systems Megapure 6001 HC Kinetic Systems Megapure 6001 HC - Component 国外
Component Component MKS AX8559 - - 国外
Mattson TiW Etch Tool Component Mattson TiW Etch Tool Component Mattson TiW Etch Tool 2002 Wet Etch tool, condition : Fair 国外
Component Component Control Air D-9-L-SM-UM-MOD 184 - D-9-L-SM-UM LIFT,MODEL#184 TEST P 国外
TEL	Precio octo ATE TEL Precio octo ATE TEL Precio octo 2017 - 国外
Rudolph S3000S Rudolph S3000S Rudolph S3000S 2011 - 国外
Rudolph S3000SX Rudolph S3000SX Rudolph S3000SX 2011 - 国外
Metrology Metrology Phoenix micromex 160 2007 - 国外
AMAT Octane G2 assy Component AMAT Octane G2 assy Component AMAT应用材料 Octane G2 assy 1999 - 国外
AMAT Octane G2 assy Component AMAT Octane G2 assy Component AMAT应用材料 Octane G2 assy 1999 - 国外
Chiron DESTIN EM ATE Chiron DESTIN EM ATE Chiron DESTIN EM 2000 - 国外
Chiron DESTIN EM ATE Chiron DESTIN EM ATE Chiron DESTIN EM 2001 - 国外
Chiron XPEQT EM ATE Chiron XPEQT EM ATE Chiron XPEQT EM 2001 - 国外
Chiron XPEQT EM ATE Chiron XPEQT EM ATE Chiron XPEQT EM 2002 - 国外
Chiron XPEQT EM ATE Chiron XPEQT EM ATE Chiron XPEQT EM 2002 - 国外
Chiron XPEQT EM ATE Chiron XPEQT EM ATE Chiron XPEQT EM 2002 - 国外
Metrology Metrology Jordan Valley BedeMetrix-F 2006 Missing Parts . 国外
Chiron DESTIN EM ATE Chiron DESTIN EM ATE Chiron DESTIN EM 2000 - 国外
WET WET SCREEN FC-3000 2005 - 国外
SCREEN FC-3100 WET SCREEN FC-3100 WET SCREEN FC-3100 2007 6 baths 国外
Novellus Inova PVD Novellus Inova PVD Novellus Inova 2014 MDX83x, underutilized in FAB8,
c
国外
LAM(Novellus) Vector Express CVD LAM(Novellus) Vector Express CVD LAM(Novellus) Vector Express 2011 CVD34x, underutilized at FAB8, us 国外
LAM(Novellus) Vector Express CVD LAM(Novellus) Vector Express CVD LAM(Novellus) Vector Express 2011 - 国外
WET WET Semitool Spectrum - - 国外
TEL	Trias CVD TEL Trias CVD TEL Trias 2006 - 国外
TEL	Trias CVD TEL Trias CVD TEL Trias 2006 - 国外
TEL	Trias CVD TEL Trias CVD TEL Trias 2006 - 国外
KOKUSAI DJ-1206VN-DM KOKUSAI DJ-1206VN-DM KOKUSAI DJ-1206VN-DM 2005 - 国外
Nanometrics Caliper Nanometrics Caliper Nanometrics Caliper 2001 Connected. 国外
Metrology Metrology Nova T600 2014 - 国外
TEL	LITHIUS i Track TEL LITHIUS i Track TEL LITHIUS i 2005 Missing parts 国外
RIGAKU 3272 RIGAKU 3272 RIGAKU 3272 2005 - 国外
AXCELIS XT300 Furnace AXCELIS XT300 Furnace AXCELIS XT300 2001 - 国外
Metrology Metrology VEECO Dimension X3D 2006 System S/N : 149 国外
Metrology Metrology FEI CLM 3D 2011 - 国外
CVD CVD Novellus C3 Speed chms 2002 - 国外
Kinetic Systems MB 331-FDD Kinetic Systems MB 331-FDD Kinetic Systems MB 331-FDD 2014 - 国外
Sinfornia SELOP12F25-S7A0021 CMP Sinfornia SELOP12F25-S7A0021 CMP Sinfornia SELOP12F25-S7A0021 2014 - 国外
Perkin Elmer AANALYST 600 ATE Perkin Elmer AANALYST 600 ATE Perkin Elmer AANALYST 600 2002 - 国外
LTX CREDENCE D10 LTX CREDENCE D10 LTX CREDENCE D10 2007 - 国外
Synax SX141 ATE Synax SX141 ATE Synax SX141 2005 - 国外
Component Component ESPEC ST-120 1998 - 国外
Component Component UVP C-600 2001 - 国外

页次: 15 / 21页 每页:200 设备数:4138   97[11][12][13][14][15][16][17][18][19][20]: 总共有21页

二手半导体设备买卖-二手半导体设备翻新-二手半导体设备交易平台semi1688.com
城市分站:北京龙玺精密 | 上海龙玺精密 | 深圳龙玺精密 | 成都龙玺精密 | 天津 | 香港 | 澳门 | 安徽 | 福建 | 广东 | 广西 | 贵州 | 甘肃 | 海南 | 河北 | 河南 | 黑龙江 | 湖北 | 湖南 | 吉林 | 江苏 | 江西 | 辽宁 | 内蒙古 | 宁夏 | 青海 | 陕西 | 山西 | 山东 | 四川 | 台湾 | 西藏 | 新疆 | 云南 | 浙江 | japan longxi | korea longxi | USA longxi
 
关于我们 | 产品中心 | 新闻资讯 | 非标定制 | 技术服务 | 联系我们 | 网站地图 | 二手半导体设备采购平台
地址:宁波市奉化区东峰路80号or江苏徐州市贾汪区工业园 电话:18868521984龙先生
邮箱:ljb929@126.com 注:设备状态不定期更新,是否已售出请咨询。
    设备咨询,请加我微信
Copyright © 2019-2024 龙玺精密 版权所有 备案号:浙ICP备2021036227号
VGF单晶炉|高压VGF单晶炉|VTM单晶炉|SIC单晶炉|多晶合成炉|平面抛光机|平面研磨机|双面研磨机和抛光机|曲面抛光机|槽式清洗机|第二代半导体材料全自动清洗机|GASS立式甩干机|多工位甩干机|高温退火炉|低温立式炉|ALD原子层沉积设备|真空封焊炉|磁控溅射镀膜机|高真空多靶磁控溅射镀膜机|卷绕镀膜系统|电阻蒸发镀膜机|电子束蒸发镀膜机|机械手定制|手套箱|晶体生长设备|研磨抛光设备|材料清洗设备|真空镀膜设备|其他定制设备|二手半导体设备|半导体二手设备|二手半导体设备交易|二手半导体设备市场|二手半导体设备平台|二手半导体设备网站|二手半导体设备公司|二手半导体设备翻新|二手半导体设备维护|二手半导体设备进口|二手半导体设备回收|国外二手半导体设备|国内二手半导体设备|二手半导体设备供应商|二手半导体设备交易平台|二手半导体设备交易平台semi1688.com|二手半导体设备采购平台semi1688.com|切割机|划片机|光刻机|检测仪|测试仪|扫描电镜|蚀刻机|探针台|二手半导体设备|二手CANON设备|二手NIKON设备|二手DISCO设备|二手ASML设备|二手AMAT设备|二手TEL设备|二手LAM设备|二手KLA设备|二手Semics设备|二手Hitachi设备
VGF单晶炉|高压VGF单晶炉|VTM单晶炉|SIC单晶炉|多晶合成炉|平面抛光机|平面研磨机|双面研磨机|双面抛光机|曲面抛光机|槽式清洗机|第二代半导体材料全自动清洗机|GASS立式甩干机|多工位甩干机|高温退火炉|低温立式炉|ALD原子层沉积设备|真空封焊炉|磁控溅射镀膜机|高真空多靶磁控溅射镀膜机|卷绕镀膜系统|电阻蒸发镀膜机|电子束蒸发镀膜机|机械手|手套箱|晶体生长设备|研磨抛光设备|材料清洗设备|真空镀膜设备|其他定制设备|龙玺精密|国内二手设备|国外二手设备|二手半导体设备|二手设备买卖|二手设备翻新|二手设备回收|CANON二手设备|NIKON二手设备|DISCO二手设备|ASML二手设备|AMAT二手设备|TEL二手设备|LAM二手设备|KLA二手设备|SEMICS二手设备|HITACHI二手设备|芯片|晶圆|封装|半导体|切割机|划片机|光刻机|检测仪|测试仪|半导体设备|半导体封装|扫描电镜|蚀刻机|探针台|扩散炉