二手半导体设备交易平台semi1688.com
首页 CANON NIKON DISCO ASML AMAT TEL LAM KLA SEMICS HITACHI YOKOGAWA
图 片 设备名称 制造商 型号 年份 详细配置 状 态
Temptronic TP04000A-2B21-2 ATE Temptronic TP04000A-2B21-2 ATE Temptronic TP04000A-2B21-2 2015 - 国外
MOSAID MS348001 MOSAID MS348001 MOSAID MS348001 2007 - 国外
Thermonix T2500IX/ION/E ATE Thermonix T2500IX/ION/E ATE Thermonix T2500IX/ION/E 2003 - 国外
Synax SX141 ATE Synax SX141 ATE Synax SX141 2005 - 国外
Component Component Agilent E8510C 2001 - 国外
Component Component Agilent E8510C 2001 - 国外
Component Component Agilent E8510C 2001 - 国外
Component Component Agilent E8510C 2001 - 国外
CVD CVD ASM Polygon P8300 2009 - 国外
Component Component Litrolin SOLB-5M2 2000 - 国外
Metrology Metrology FEI 300 2004 - 国外
Etch Etch POLYFLOW S314 1987 - 国外
TEL	Air Dryers Others TEL Air Dryers Others TEL Air Dryers 2000 - 国外
TEL	Air Dryers Others TEL Air Dryers Others TEL Air Dryers 2001 - 国外
TEL	D214 Component TEL D214 Component TEL D214 2001 - 国外
Nanometrics Caliper Q300 Nanometrics Caliper Q300 Nanometrics Caliper Q300 2003 Accent Optical, Caliper Q300, Bio 国外
ASML XT1700FI光刻机 ASML XT1700FI光刻机 ASML XT1700FI 2009 - 国外
CVD CVD ASM Polygon P8300 2009 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2006 - 国外
Micro Handling MP933 ATE Micro Handling MP933 ATE Micro Handling MP933 2006 - 国外
TEL	P-12XLn TEL P-12XLn TEL P-12XLn 2005 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2006 - 国外
Component Component Unknown Unknown 1986 Customised storage rack and table 国外
Metrology Metrology VEECO Dimension X3D 2002 - 国外
Micro Handling MP933 ATE Micro Handling MP933 ATE Micro Handling MP933 2006 - 国外
Micro Handling MP933 ATE Micro Handling MP933 ATE Micro Handling MP933 2006 - 国外
TEL	P-12XLn TEL P-12XLn TEL P-12XLn 2005 Missing parts in datasheet. 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2006 Missing parts in datasheet. 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2006 Missing parts in datasheet. 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2006 Missing parts in datasheet. 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2006 Missing parts in datasheet. 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2006 Missing parts in datasheet. 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2006 Missing parts in datasheet. 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2006 Missing parts in datasheet. 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2006 Missing parts in datasheet. 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2006 Missing parts in datasheet. 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2006 Missing parts in datasheet. 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2006 Missing parts in datasheet. 国外
ASML XT1250D光刻机 ASML XT1250D光刻机 ASML XT1250D 2005 - 国外
CANON	C-7100GT PVD CANON C-7100GT PVD CANON C-7100GT 2007 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2007 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2007 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2008 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2007 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2008 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2007 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2007 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2007 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2007 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2006 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2008 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2007 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2006 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2007 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2008 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2007 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2008 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2007 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2008 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2008 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2006 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2008 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2008 - 国外
Star Gemini Component Star Gemini Component STAR Technologies Star Gemini 2014 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2006 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2006 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2006 - 国外
Stepper Stepper Ultratech AP300 2006 - 国外
Mattson Helios RTP Mattson Helios RTP Mattson Helios 2007 - 国外
CANON	FC7102 PVD CANON FC7102 PVD CANON FC7102 2005 - 国外
ATE ATE Electroglas EG2001X 2011 - 国外
ATE ATE Micro Handling MP933 1985 - 国外
ATE ATE Micro Handling MP933 2006 - 国外
ATE ATE Micro Handling MP933 2006 - 国外
ATE ATE Micro Handling MP933 2006 - 国外
ATE ATE Micro Handling MP933 2006 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2006 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2005 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2006 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2008 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2008 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2008 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2008 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2008 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2008 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2008 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2008 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2008 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2006 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
TEL	P-12XLn+ ATE TEL P-12XLn+ ATE TEL P-12XLn+ 2007 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2007 - 国外
LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire ATE LTX CREDENCE Sapphire 2007 - 国外
Metrology Metrology Carl Zeiss Axiotron-2 - Condition : Not working, Manual X 国外
Mattson Helios RTP Mattson Helios RTP Mattson Helios - System S/N : 2006011107 国外
Component Component MKS Liquozone PrimO3 - Ozone / O3 / Generator / Other, M 国外
Component Component VWR Scientific 1601 - - 国外
Component Component VWR Scientific 1601 - - 国外
Component Component RORZE RV201-F05-202CE-1 2011 - 国外
ACCRETECH UF3000探针台 ACCRETECH UF3000探针台 ACCRETECH东京精密 UF3000 2004 Right Single Loader, Hot Nickel C 国外
ACCRETECH UF3000探针台 ACCRETECH UF3000探针台 ACCRETECH东京精密 UF3000 2007 Right Single Loader, Hot Nickel C 国外
ACCRETECH UF3000探针台 ACCRETECH UF3000探针台 ACCRETECH东京精密 UF3000 2008 Right Single Loader, Hot Nickel C 国外
ULVAC ZX-1000-PVD叶片式溅射设备 ULVAC ZX-1000-PVD叶片式溅射设备 ULVAC爱发科 ZX-1000 1996 Reflow x 1, Ti/TiN LTS x1, Degas 国外
ULVAC ZX-1000-PVD叶片式溅射设备 ULVAC ZX-1000-PVD叶片式溅射设备 ULVAC爱发科 ZX-1000 1996 Reflow x 1, Ti/TiN LTS x1, Degas 国外
ULVAC ZX-1000-PVD叶片式溅射设备 ULVAC ZX-1000-PVD叶片式溅射设备 ULVAC爱发科 ZX-1000 2011 Reflow x 1, Ti/TiN LTS x1, Degas 国外
ULVAC ZX-1000-PVD叶片式溅射设备 ULVAC ZX-1000-PVD叶片式溅射设备 ULVAC爱发科 ZX-1000 1995 Reflow x 1, Ti/TiN x 1, Degas x1, 国外
TEL	MBB-830 PVD TEL MBB-830 PVD TEL MBB-830 1995 TiN 3CH(Cryo_CTI OB8) 国外
TEL	MBB-830 PVD TEL MBB-830 PVD TEL MBB-830 1995 TiN 3CH(Cryo_CTI OB8) 国外
AMAT Endura CL PVD AMAT Endura CL PVD AMAT应用材料 Endura CL 2004 EFEM(2Ports, Kensington), , 2x A 国外
AMAT Endura CL PVD AMAT Endura CL PVD AMAT应用材料 Endura CL 2004 EFEM(2Ports, Kensington), 1x Gen 国外
CANON	FC7100 PVD CANON FC7100 PVD CANON FC7100 2011 1x PC, 3x PVD (Dual Target) 国外
Novellus Inova PVD Novellus Inova PVD Novellus Inova 1999 TM, 2x PVD, 1x PCII 国外
Novellus Inova Chamber PVD Novellus Inova Chamber PVD Novellus Inova Chamber 2012 2x Cu chambers, AC Rack, Accessar 国外
Novellus Inova Chamber PVD Novellus Inova Chamber PVD Novellus Inova Chamber 2012 2x Cu chambers, AC Rack, Accessar 国外
Component Component Brooks MTX4000 2004 OS_Window NT, LOT Capability 25, 国外
NIKON NSR 2205EX14C光刻机 NIKON NSR 2205EX14C光刻机 NIKON NSR-2205EX14C 2001 6"wafer, FTHEX14C, Cymer 5410 国外
CANON FPA-5500iZ+ CANON FPA-5500iZ+ CANON FPA-5500iZ+ 2005 - 国外
TEL	LITHIUS Track TEL LITHIUS Track TEL LITHIUS 2006 2C5D, Inlined type(5500iZa), FOUP 国外
ATE ATE Advantest T5593 2003 Board 6? (???? Divide Val ?? ? ?? 国外
CANON	FPA-6000 ES5 CANON FPA-6000 ES5 CANON FPA-6000 ES5 2003 6" Reticle(SMIF) \, R Type(Foup), 国外
KARL SUSS MA200光刻机 KARL SUSS MA200光刻机 KARL SUSS MA200 1995 8" Holder , 2x Optic Lens(5x, 10x 国外
KARL SUSS MA200光刻机 KARL SUSS MA200光刻机 KARL SUSS MA200 1992 8" Holder , 2x Optic Lens(5x, 10x 国外
NIKON NES1-H04缩小投影曝光装置 NIKON NES1-H04缩小投影曝光装置 NIKON NES1-H04 2011 Main body, In/out Unit w/Robot, T 国外
CANON FPA-6000ES6a CANON FPA-6000ES6a CANON FPA-6000ES6a 2007 Uniformity(1.811%) 国外
HITACHI RS4000 HITACHI RS4000 HITACHI RS4000 2007 [As-is] 2port (TDK), UI PC (B260 国外
HITACHI RS4000 HITACHI RS4000 HITACHI RS4000 2005 [As-is] 2port (TDK), UI PC (B260) 国外
HITACHI IS3200SE HITACHI IS3200SE HITACHI IS3200SE 2010 3port(TDK TAS300), Hitachi Tazmo 国外
HITACHI RS6000 HITACHI RS6000 HITACHI RS6000 2014 Size L*W*H(mm) : 3780*1500*1900 国外
KLA NANOMAPPER KLA NANOMAPPER KLA科磊 NANOMAPPER 2006 [As-is] 2x Open Foup type, Kensin 国外
Nanometrics Caliper Mosaic Nanometrics Caliper Mosaic Nanometrics Caliper Mosaic 2011 [As-is] 2x Load Port(Brooks), Rob 国外
Nanometrics Caliper Elan Nanometrics Caliper Elan Nanometrics Caliper Elan 2005 [As-is] 2port(Brooks), 国外
Nanometrics Caliper Mosaic Nanometrics Caliper Mosaic Nanometrics Caliper Mosaic 2009 [As-is] 3x Load Port(Asyst), Robo 国外
Nanometrics Caliper Mosaic Nanometrics Caliper Mosaic Nanometrics Caliper Mosaic 2009 [As-is] 2xLoad port(Brooks FixLoa 国外
Nanometrics Caliper Mosaic Nanometrics Caliper Mosaic Nanometrics Caliper Mosaic 2009 [As-is]Overlay, 2ea*loadport(Broo 国外
Nanometrics Caliper Mosaic Nanometrics Caliper Mosaic Nanometrics Caliper Mosaic 2011 [As-is] 3ports(Brooks), Brooks(Al 国外
Nanometrics Caliper Mosaic Nanometrics Caliper Mosaic Nanometrics Caliper Mosaic 2011 [As-is] 2ports(Brooks), Brooks(Al 国外
Rudolph Axi-S Rudolph Axi-S Rudolph Axi-S 2005 2 Ports (Brooks), Robot & Robot C 国外
Rudolph Axi-S Rudolph Axi-S Rudolph Axi-S 2005 [As-is] 2x Brooks Loader 国外
Rudolph NSX105 Rudolph NSX105 Rudolph NSX105 2004 [As-is]2x Load port(6",8"), Brook 国外
Rudolph NSX105 Rudolph NSX105 Rudolph NSX105 2003 [As-is]2x Load port(6",8"), Brook 国外
Rudolph 3Di8500 Rudolph 3Di8500 Rudolph 3Di8500 2008 [As-is] Genmark Robot 国外
JEOL JEM3200FS JEOL JEM3200FS JEOL JEM3200FS 2006 [As-is] TEM 国外
Component Component Brooks MTX2000 2004 OS_Window NT, LOT Capability 25, 国外
HITACHI HD2300 HITACHI HD2300 HITACHI HD2300 2006 TEM, EDX option included 国外
Metrology Metrology VEECO Dimension X3D 2006 [As-is] 1Port(Asyst ISO port), Br 国外
AMATUVision 5 Metrology AMATUVision 5 Metrology AMAT应用材料 UVision 5 2012 2port(TDK TAS300), Yaskawa robot 国外
Metrology Metrology Horiba PR-PD2 2005 [As-is] 6 Reticle, SMIF type, Det 国外
AMATUVision 4 Metrology AMATUVision 4 Metrology AMAT应用材料 UVision 4 2009 [As-is]2ea*TDK load port, Kawasak 国外
AMATUVision 4 Metrology AMATUVision 4 Metrology AMAT应用材料 UVision 4 - Parts Sale Available
If you need
国外
KLA HRP-340 KLA HRP-340 KLA科磊 HRP-340 2004 2port(Asyst ISO port), stage lock 国外
KLA EDR-5210电子束缺陷再检测 KLA EDR-5210电子束缺陷再检测 KLA科磊 EDR-5210 - 2x Load port( Brooks, Brooks Robo 国外
KLA EDR-5210电子束缺陷再检测 KLA EDR-5210电子束缺陷再检测 KLA科磊 EDR-5210 2010 2xLoad port(Brooks FixLoad), Robo 国外
KLA Puma 9130晶圆检测系统 KLA Puma 9130晶圆检测系统 KLA科磊 Puma 9130 2005 [As-is] 2ea*Loadport(Asyst), PRI 国外
KLA Puma 9000晶圆检测系统 KLA Puma 9000晶圆检测系统 KLA科磊 Puma 9000 2005 [As-is] Handler missing, Dell pow 国外
KLA WI-2280晶圆检测机台 KLA WI-2280晶圆检测机台 KLA科磊 WI-2280 - - 国外
KLA WI-2280晶圆检测机台 KLA WI-2280晶圆检测机台 KLA科磊 WI-2280 - - 国外
KLA AIT UV KLA AIT UV KLA科磊 AIT UV 2003 - 国外
TEL	Alpha-303i-K Furnace TEL Alpha-303i-K Furnace TEL Alpha-303i-K 2006 1. GFC : N2, N2O, SiH4 (MTO) 2. C 国外
TEL	Alpha-303i-K Furnace TEL Alpha-303i-K Furnace TEL Alpha-303i-K 2003 GFC (N2, SiH4, Si2H6, 0.1%PH3/N2, 国外
TEL	Alpha-303i-K Furnace TEL Alpha-303i-K Furnace TEL Alpha-303i-K 2003 Process: D-Poly, Gas: N2, 0.1%PH3 国外
TEL	Indy-B Furnace TEL Indy-B Furnace TEL Indy-B 2012 Indy-B-L, MFC(N2, NH3, SiH2Cl2, N 国外
TEL	Alpha-303i-K Furnace TEL Alpha-303i-K Furnace TEL Alpha-303i-K 2005 GFC(N2, NH3, SiH4, SiH2Cl2, N2O), 国外
TEL	Indy-A Furnace TEL Indy-A Furnace TEL Indy-A 2005 GAS (N2, NH3, SiH2Cl2, N2O), L/L 国外
TEL	Alpha-303i-K Furnace TEL Alpha-303i-K Furnace TEL Alpha-303i-K 2004 1. GFC : N2, N2O, SiH4 (MTO) 2. C 国外
TEL	Alpha-303i-K Furnace TEL Alpha-303i-K Furnace TEL Alpha-303i-K 2005 GFC(SiH4,0.1%PH3/N2,CiF3), L/L Ty 国外
NIKON OCDM 300MM NIKON OCDM 300MM NIKON OCDM 300MM 2010 BU: eWLB, Tool Condition: Functio 国外
Asher Asher PSK Tera 21 2008 EFEM: ATM RORZE(RA7L018) Robot,
国外
HITACHI DM421P刻蚀设备 HITACHI DM421P刻蚀设备 HITACHI DM421P 1995 - 国外
Etch Etch BMR ICP Etcher 2009 GaN 国外
Etch Etch BMR ICP Etcher 2009 GaN 国外
Mattson Aspen 3 Lite Asher Mattson Aspen 3 Lite Asher Mattson Aspen 3 Lite 2006 3 Load Ports, 2set Chambers, Traz 国外
Mattson Aspen 3 Lite Asher Mattson Aspen 3 Lite Asher Mattson Aspen 3 Lite 2006 EFEM, TM, 3LP, 2x PM, HDD include 国外
AXCELIS Integra Etch AXCELIS Integra Etch AXCELIS Integra 2012 EFEM, TM, 2x PM, AC Rack, Accessa 国外
Mattson ParadigmE Etch Mattson ParadigmE Etch Mattson ParadigmE 2012 1x PM and AC Rack only. No full s 国外
Mattson ParadigmE XP Etch Mattson ParadigmE XP Etch Mattson ParadigmE XP 2010 EFEM, 2x twin PM, AC rack, UPS, T 国外
Asher Asher PSK Tera 21 2006 EFEM, TM, 2x PM 国外
Asher Asher PSK Tera 21 2007 EFEM, TM, 2x Twin Asher 国外
Asher Asher PSK Tera 21 2007 EFEM, TM, 2x PM 国外

页次: 16 / 21页 每页:200 设备数:4138   97[11][12][13][14][15][16][17][18][19][20]: 总共有21页

二手半导体设备买卖-二手半导体设备翻新-二手半导体设备交易平台semi1688.com
城市分站:北京龙玺精密 | 上海龙玺精密 | 深圳龙玺精密 | 成都龙玺精密 | 天津 | 香港 | 澳门 | 安徽 | 福建 | 广东 | 广西 | 贵州 | 甘肃 | 海南 | 河北 | 河南 | 黑龙江 | 湖北 | 湖南 | 吉林 | 江苏 | 江西 | 辽宁 | 内蒙古 | 宁夏 | 青海 | 陕西 | 山西 | 山东 | 四川 | 台湾 | 西藏 | 新疆 | 云南 | 浙江 | japan longxi | korea longxi | USA longxi
 
关于我们 | 产品中心 | 新闻资讯 | 非标定制 | 技术服务 | 联系我们 | 网站地图 | 二手半导体设备采购平台
地址:宁波市奉化区东峰路80号or江苏徐州市贾汪区工业园 电话:18868521984龙先生
邮箱:ljb929@126.com 注:设备状态不定期更新,是否已售出请咨询。
    设备咨询,请加我微信
Copyright © 2019-2024 龙玺精密 版权所有 备案号:浙ICP备2021036227号
VGF单晶炉|高压VGF单晶炉|VTM单晶炉|SIC单晶炉|多晶合成炉|平面抛光机|平面研磨机|双面研磨机和抛光机|曲面抛光机|槽式清洗机|第二代半导体材料全自动清洗机|GASS立式甩干机|多工位甩干机|高温退火炉|低温立式炉|ALD原子层沉积设备|真空封焊炉|磁控溅射镀膜机|高真空多靶磁控溅射镀膜机|卷绕镀膜系统|电阻蒸发镀膜机|电子束蒸发镀膜机|机械手定制|手套箱|晶体生长设备|研磨抛光设备|材料清洗设备|真空镀膜设备|其他定制设备|二手半导体设备|半导体二手设备|二手半导体设备交易|二手半导体设备市场|二手半导体设备平台|二手半导体设备网站|二手半导体设备公司|二手半导体设备翻新|二手半导体设备维护|二手半导体设备进口|二手半导体设备回收|国外二手半导体设备|国内二手半导体设备|二手半导体设备供应商|二手半导体设备交易平台|二手半导体设备交易平台semi1688.com|二手半导体设备采购平台semi1688.com|切割机|划片机|光刻机|检测仪|测试仪|扫描电镜|蚀刻机|探针台|二手半导体设备|二手CANON设备|二手NIKON设备|二手DISCO设备|二手ASML设备|二手AMAT设备|二手TEL设备|二手LAM设备|二手KLA设备|二手Semics设备|二手Hitachi设备
VGF单晶炉|高压VGF单晶炉|VTM单晶炉|SIC单晶炉|多晶合成炉|平面抛光机|平面研磨机|双面研磨机|双面抛光机|曲面抛光机|槽式清洗机|第二代半导体材料全自动清洗机|GASS立式甩干机|多工位甩干机|高温退火炉|低温立式炉|ALD原子层沉积设备|真空封焊炉|磁控溅射镀膜机|高真空多靶磁控溅射镀膜机|卷绕镀膜系统|电阻蒸发镀膜机|电子束蒸发镀膜机|机械手|手套箱|晶体生长设备|研磨抛光设备|材料清洗设备|真空镀膜设备|其他定制设备|龙玺精密|国内二手设备|国外二手设备|二手半导体设备|二手设备买卖|二手设备翻新|二手设备回收|CANON二手设备|NIKON二手设备|DISCO二手设备|ASML二手设备|AMAT二手设备|TEL二手设备|LAM二手设备|KLA二手设备|SEMICS二手设备|HITACHI二手设备|芯片|晶圆|封装|半导体|切割机|划片机|光刻机|检测仪|测试仪|半导体设备|半导体封装|扫描电镜|蚀刻机|探针台|扩散炉